Method for the physical placement of an integrated circuit...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

06378114

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates to a method for the physical placement of an integrated circuit chip that is adaptive to changes made to a netlist.
BACKGROUND OF THE INVENTION
A highly specialized field, commonly referred to as “electronic design automation” (EDA), has evolved to handle the demanding and complicated task of designing semiconductor chips. In EDA, computers are extensively used to automate the design process. Computers are ideally suited to performing tasks associated with the design process because computers can be programmed to reduce or decompose large, complicated circuits into a multitude of much simpler functions. Thereupon, the computers can be programmed to iteratively solve these much simpler functions. Indeed, it has now come to the point where the design process has become so overwhelming that the next generation of integrated circuit (IC) chips cannot be designed without the help of computer-aided design (CAD) systems.
Typically, the design process begins with an engineer conceiving and defining the performance specification of the new IC chip. A high level language is used to translate this specification into functional criteria which are fed into a logic synthesis program. Based thereon, the synthesis program generates a netlist containing a collection of gates in terms of a particular semiconductor technology (e.g., very large scale integration—VLSI). This netlist can be regarded as a template for the realization of the physical embodiment of the integrated circuit in terms of transistors, routing resources, etc. Next, a physical design tool is used to place and route the IC chip. It determines the physical pinouts, wiring, interconnections and specific layout of the semiconductor chip. Once the physical layout is complete, the IC chip can be fabricated.
In the past, when semiconductor chips were simpler and less complex, the design process was relatively straightforward. However, advances in semiconductor technology have led the way towards more versatile, powerful, and faster integrated circuit (IC) chips. The trend is towards even larger, more complex and sophisticated IC chips in an effort to meet and improve upon the demands imposed by state-of-the-art performance. Today, a single IC chip can contain upwards of millions of transistors. As the complexity, functionalities, speed, and size of these chips increase, it is becoming a much more critical and difficult task to properly design, layout, and test the next generation of chips.
Often, several iterations of the design, layout, and testing process are required in order to optimize the semiconductor chip's size, cost, heat output, speed, power consumption, and electrical functionalities. However, one problem is attributable to the fact that each of these stages is highly dependent on the results of the other stages. A minor alteration in one stage intended to enhance one characteristic may cause unforeseen problems to occur in other stages. For example, changing a cell in the synthesis stage might drastically alter the current place and route. It is this high degree of interdependence which makes it extremely difficult to predict and account for the consequences associated with any changes. Indeed, the overall design might sometimes be worse in a successive iteration. Furthermore, the iterative process is time-consuming and requires a powerful computer to perform the processing. In addition, the iterative process is labor intensive and requires the dedication of a highly skilled, experienced EDA specialist.
Thus, there is a need for some scheme which cuts the cost and time associated with the semiconductor chip design process, while at the same time, allows a designer to optimize the chip's performance. The present invention provides one such a solution.
SUMMARY OF THE INVENTION
The present invention pertains to a computer controlled method for the rough placement of cells in the design of integrated circuits. Initially, a synthesis tool is used to generate a netlist according to HDL, user constraint, and technology data. Thereupon, a cell separation process assigns (x,y) locations to each of the cells. The cell location information is supplied to the synthesis tool, which can then make changes to the netlist thereto. In the present invention, the size of the placement area is allowed to be scaled according to the new netlist. Next, the cells of the netlist are spaced apart according to a spacing algorithm. A partitioning algorithm is then applied to group the cells into a plurality of partitions. A number of iterations of cell separation, synthesis of new netlist, size adjustment (if necessary), spacing, and partitioning are performed until the cells converge. Thereupon, detailed placement and routing processes are used to complete the layout.


REFERENCES:
patent: 4495559 (1985-01-01), Gelatt, Jr. et al.
patent: 4577276 (1986-03-01), Dunlop et al.
patent: 4918614 (1990-04-01), Modarres et al.
patent: 5267176 (1993-11-01), Antreich et al.
patent: 5532934 (1996-07-01), Rostoker
patent: 5629860 (1997-05-01), Jones et al.
patent: 5757657 (1998-05-01), Hathaway et al.
patent: 5818729 (1998-10-01), Wang et al.
patent: 5847965 (1998-12-01), Cheng
patent: 6086625 (2000-07-01), Shouen
Hojat et al.; “An Integrated Placement and Synthesis Approach for Timing Closure of Power PC Microprocessors”; 1997; International Conference on Computers and Processors; Austin, Texas, pp. 206-210.
Murofushi et al.; “Layout Driven Re-Synthesis for Low Power Consumption LSD's”; 1997; Semiconductor DA & Test Engineering Center DA Development Dept., Kawasaki 210, Japan, pp. i-iv.
Kannan et al.; “A Methodology and Algorithms for Post-Placement Delay Optimization”; 1994; Cadence Design Systems, Inc., 2655 Seely Road, San Jose, CA 95134; 31st ACM/IEEE Design Automation Conference, pp. 326-332.
Stenz et al.; “Timing Driven Placement in Interaction with Netlist Transformations”; 1997; Siemens AG Semiconductor Group 81617 Munich, Germany, pp. 36-41.
Larry Stockmeyer; “Optimal Orientations of Cells in Slicing Floorplan Designs”; 1983; Computer Science Department, IBM Research Laboratory, San Jose, CA 95193, pp. 91-101.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method for the physical placement of an integrated circuit... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method for the physical placement of an integrated circuit..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method for the physical placement of an integrated circuit... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2868294

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.