Method for forming a semiconductor device using a mask...

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C430S005000, C378S035000, C438S942000, C438S945000, C438S725000, C438S717000, C438S689000

Reexamination Certificate

active

06297169

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates generally to semiconductor manufacturing, and more particularly to forming a semiconductor device with a lithographic mask.
BACKGROUND OF THE INVENTION
One goal of semiconductor fabrication is to increase the density of active elements provided on an integrated circuit. In order to accomplish this, ongoing investigation in advanced lithography is underway to decrease the critical dimensions of active elements used to form these integrated circuits. Current lithography uses energy sources that include i-line at 365 nanometers and deep ultra-violet (DUV) at 248 nanometers to pattern substrate features. Decreasing the wavelength of the energy source allows for the formation of photoresist features having smaller critical dimensions.
Accordingly, smaller wavelength energy sources are being developed as alternatives to conventional lithography. These include x-ray, ion projection, extreme ultra-violet (EUV) at 13.4 nanometers, and scattering with angular limited projection in electron-beam lithography (SCALPEL).
SCALPEL and x-ray lithography masks are formed of attenuating elements overlying thin membranes. The membrane thickness of a SCALPEL mask is typically in range of 100-150 nanometers and the membrane thickness of an x-ray ray mask is typically in a range of 2000-5000 nanometers. Cleaning such masks is relatively difficult and presents numerous problems. Conventional wet chemical processes do not adequately remove particles without reacting with or damaging the mask. Physical agitation, such as ultrasonic agitation, is generally undesirable because of the delicate nature of the membranes. Other cleaning techniques, such as dry laser cleaning and frozen ice cleaning, are likely to be ineffective at adequately cleaning the masks, particularly when attempting to remove particles between patterned mask features.
Conventional lithography has adopted the use of pellicles to protect masks from particles and to prevent the imaging of defects onto the semiconductor substrate. However, the use of pellicles in SCALPEL and x-ray lithography is problematic. The pellicle increases the thickness of material through which the energy must pass, thereby reducing throughput and increasing chromatic aberration. Additionally, contaminants or particles deposited on pellicles used in SCALPEL and x-ray lithography may nevertheless be imaged onto the resist, unlike in conventional lithography.
Development in the field of self-assembled monolayers (SAMs) has been underway for several years. The particularities of commonly formed SAMs are disclosed in technical literature, including “Formation and Structure of Self-Assembled Monolayers”, by Abraham Ulman, Chemical Reviews, Vol 96, 4, (1996) 1532-1544, and “An Introduction to Ultrathin Organic Films: From Langmuir-Blodgett to Self-Assembly” by Abraham Ulman, Academic Press, Inc., Boston (1991) 237-304, both of which are hereby incorporated by reference.


REFERENCES:
patent: Re. 36964 (2000-11-01), Berger
patent: 4448865 (1984-05-01), Bohlen
patent: 4515876 (1985-05-01), Yoshihara
patent: 4735890 (1988-04-01), Nakane
patent: 4802951 (1989-02-01), Clark
patent: 4939052 (1990-07-01), Nakagawa
patent: 4981771 (1991-01-01), Mochiji
patent: 5196283 (1993-03-01), Ikeda
patent: 5318687 (1994-06-01), Estes
patent: 5464711 (1995-11-01), Mogab et al.
patent: 5510230 (1996-04-01), Tennant
patent: 5773177 (1998-06-01), Ikeda
patent: 5866913 (1999-02-01), Robinson
patent: 5885753 (1999-03-01), Crooks
patent: 5942760 (1999-08-01), Thompson
patent: 6118577 (2000-09-01), Sweatt
patent: 6124063 (2000-09-01), Dauksher
patent: 6140020 (2000-10-01), Cunnings
patent: 6180239 (2001-01-01), Whitesides
patent: 6187482 (2001-02-01), Kuroda
Ulman, “Formation and Structure of Self-Assembled Monolayers,” American Chemical Society, Chemical Reviews, vol. 96, No. 4, pp. 1533-1554 (1996).
Ulman, “An Introduction of Ultrathin Organic Films: From Langmuir-Blodgett to Self-Assembly,” Self-Assembled Monolayers, Part Three, pp. 237-304 (1991).

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method for forming a semiconductor device using a mask... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method for forming a semiconductor device using a mask..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method for forming a semiconductor device using a mask... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2611679

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.