Method for exploring feasibility of an electronic system design

Computer-aided design and analysis of circuits and semiconductor – Design of semiconductor mask or reticle – Yield

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

08037430

ABSTRACT:
One inventive aspect relates to a method of determining an estimate of system-level yield loss for an electronic system comprising individual components subject to manufacturing process variability leading to manufacturing defects. The method comprises obtaining a description of the composition of the electronic system in terms of which individual components are used. The method further comprises obtaining statistical properties of the performance of individual components of the electronic system with respect to first and second performance variables, e.g. energy consumption and delay, the statistical properties including correlation information of the first and second performance variables. The method further comprises obtaining information about execution of an application on the system, e.g. a number of accesses of a component by an application. The method further comprises propagating the statistical properties of the first and second performance variables of the individual components to the electronic system so that the correlations between the first and second performance variables are preserved, the propagating taking into account the application information.

REFERENCES:
patent: 6880136 (2005-04-01), Huisman et al.
patent: 6888564 (2005-05-01), Caviedes et al.
patent: 7539893 (2009-05-01), Ferguson
patent: 2007/0098116 (2007-05-01), Kim et al.
patent: 2007/0277134 (2007-11-01), Zhang et al.
patent: 2008/0046692 (2008-02-01), Michalak et al.
patent: 1583009 (2005-10-01), None
patent: 0624846.2 (2006-12-01), None
Agarwal et al., Process variation in embedded memories: failure analysis and variation aware architecture,IEEE Journal of Solid-State Circuits(Sep. 2005), vol. 40 No. 9:1804-1814.
Agarwal et al., Statistical timing analysis using bounds and selective enumeration,IEEE Trans. on CAD(Sep. 2003), vol. 22 No. 9.
Antreich et al., Circuit analysis and optimization driven by worst-case distances,IEEE Trans. on CAD(Jan. 1994), vol. 13, No. 1.
Austin et al., Making typical silicon matter with razor,IEEE Computer(Mar. 2004) pp. 57-65.
Benini et al., System-level power optimization techniques and tools,ACM Trans. on Design Automation for Embedded Systems,vol. 5, Issue 2, Apr. 2000, pp. 288-293.
Blaauw et al., CAD tools for variation tolerance,Design Automation Conference(Jun. 2005), 766.
Borkar, Designing reliable systems from unreliable components: the challenges of transistor variability and degradation,IEEE Micro(Nov./Dec. 2005), vol. 25, No. 6, pp. 10-16.
Chang et al., Statistical timing analysis considering spatial correlations using a single PERT-like traversal,Intl. Conf. on Computer Aided Design(Nov. 11-13, 2003), pp. 621-625.
Genest et al., Everything you always wanted to know about copula modeling but were afraid to ask,Journal of Hydrologic Engineering(Mar. 21, 2006).
Hong et al., On-line scheduling of hard real-time tasks on variable voltage processors,Intl. Conf. on Computer Aided Design(Nov. 1998), pp. 653-656, San Jose, CA.
Jacobs et al., Gate sizing using a statistical delay models, Proceedings of the conference on Design, Automation and Test in Europe (Mar. 27-30, 2000) Paris, France.
Mani et al., A new statistical optimization algorithm for gate sizing,Intl. Conf. on Computer Design(Oct. 11-13, 2004), pp. 272-277.
Mani et al., An efficient algorithm for statistical minimization of total power under timing yield constraints,Design Automation Conference(Jun. 13-17, 2005), pp. 309-314, Anaheim, CA.
Montgomery, Applied statistics & probability for engineers, Chapter 5: Joint Probability Distributions, John Wiley & Sons, Jan. 1994.
Nose et al. Vth-hopping scheme to reduce subthreshold leakage for low-power processors,IEEE J. of Solid-State Circuits(Mar. 2002), vol. 37 No. 3, pp. 413-419.
Okuma et al. Real-time task scheduling for a variable voltage processor,Intl. Symposium on System Synthesis(Nov. 1999), 24-29.
Papanikolaou et al., A system-level methodology for fully compensating process variability impact of memory organizations in periodic applications,Intl. Conference on HW/SW Co-design and System Synthesis(CODES+ISSS) (Sep. 19-21, 2005) New Jersey, USA.
Patel et al., Synthesis of partitioned shared memory architectures for energy-sufficient multi-processor SoC, Proceedings of the Conference on Design, Automation and Test in Europe, Feb. 16-20, 2004, pp. 700-701.
Srivastava et al., Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance,Design Automation Conference(Jun. 13-17, 2005), pp. 535-540, Anaheim, CA.
Srivastava et al., Concurrent sizing, Vdd and Vth assignment for low power design, IEEE (Feb. 2004), 718-719.
Srivastava et al., Statistical optimization of leakage power considering process variations using dual-Vh and sizing,Design Automation Conference(Jun. 7-11, 2004), pp. 773-778, San Diego, CA.
Visweswariah, Statistical timing of digital integrated circuits,Microprocessor Circuit Design Forum at ISSCC(2004).
Viswewariah, Death, taxes and failing chips,Design Automation Conference(Jun. 2-6, 2003), pp. 343-347, Anaheim, CA.
Wang et al., Systematic analysis of energy and delay impact of very deep submicron process variability effects in embedded SRAM modules,Proc. 4th ACM/IEEE Design and Test in Europe Conf.(Mar. 2005), Munich, Germany, pp. 914-919.
Wang et al., Variable tapered pareto buffer design and implementation techniques allowing run-time configuration for low power embedded SRAMs,IEEE Trans. on VLSI(Oct. 2005), 13(10):1127-1135.
Yang et al., Advanced timing analysis based on post-OPC extraction of critical dimensions,DAC(Jun. 13-17, 2005), pp. 359-364, Anaheim, CA.
European Search Report dated Oct. 22, 2007 in European Application 07075505.3.
Ashouei et al, Statistical Estimation of Correlated Leakage Power Variation and Its Application to Leakage—Aware Design, Proceedings of 19thInternational Conference on VLSI Design, Jan. 3-7, 2006, 7 pages.
ARM The Architecture for the Digital World, http://www.arm.com/products/physical-ip/embedded-memory-ip/index.php, accessed Feb. 21, 2011.
Bratley et al., A Guide to Simulation, 1983, pp. 42-72, Springer-Verlag New York Inc., New York.
Chen et al, Area Fill Synthesis for Uniform Layout Density, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Oct. 2002, vol. 21, No. 10, pp. 1132-1147.
Clark et al., The Greatest of a Finite Set of Random Variables, Operations Research, Mar.-Apr. 1961, vol. 9, No. 2, pp. 145-162.
Dierickx et al., Propagating Variability from Technology to System Level, IEEE, 2007, pp. 1-6.
Dierickx et al., “VAM IF” Variability and Reliability Aware Modeling Information Format Definition and User Manual, Jul. 2007.
Grobman et al, Reticle Enhancement Technology: Implications and Challenges for Physical Design, 38thConference on Design Automation, DAC Jun. 18-22, 2001, pp. 72-78, Las Vegas, Nevada.
Gupta et al, Manufacturing-Aware Physical Design, IEEE/ACM Int'l Conference on Computer-Aided Design, ICCAD Nov. 11-13, 2003, pp. 681-687, San Jose, California.
Gupta et al, Toward a Systematic-Variation Aware Timing Methodology, Design Automation Conference, Jun. 7-11, 2004, pp. 321-326, San Jose, California.
Gupta, et al, Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control, Proc. SPIE, Conference date: Feb. 27, 2003, Online publication date: Jul. 31, 2003, vol. 5043, No. 123.
Habitz, P., Chip Timing and Optimization using Statistical Analysis Methods, ASICs Timing Methodology, from Practical Aspects of Coping with Variability: An Electrical View, Tutorial at ACM/IEEE Design Automation Conf. Jul. 24-28, 2006.
International Technology Roadmap for Semiconductors, http://public.itrs.net, 2005.
Kim et al., TCAD-Based Statistical Analysis and Modeling of Gate Line-Edge Roughness Effect on Nanoscale MOS Transistor Performance and Scaling, IEEE Transactions on Semiconductor Manufacturing, May 2004, vol. 17, No. 2, pp. 192-200.
Lin, X.W., Design and Process Variability—the Source and Mechanisms, from Practical Aspects of Coping with V

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method for exploring feasibility of an electronic system design does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method for exploring feasibility of an electronic system design, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method for exploring feasibility of an electronic system design will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4258534

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.