Method and apparatus for controlling signal distribution in...

Electronic digital logic circuitry – Clocking or synchronizing of logic stages or gates

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C326S037000, C327S276000, C327S277000, C327S299000

Reexamination Certificate

active

06873187

ABSTRACT:
An electronic circuit includes delay selection units each associated with a flip-flop or other circuit element. The delay selection unit for a given one of the circuit elements is coupled between a source of a clock or other signal and a corresponding input of the circuit element, and is controllable to provide one of a number of selectable delays for the signal. One or more of the delay selection units are controlled so as to select a particular one of the selectable delays for each of the units. In an illustrative embodiment, the particular delays may be determined at least in part based on the solution of an integer nonlinear program in which the plurality of delays for a given one of the delay selection units are arranged substantially in a monotonically increasing manner and each of at least a subset of the selectable delays for the given one of the delay selection units is specified by upper and lower bounds on the corresponding delay. The integer nonlinear program comprises a system of monotone difference constraints on finite integer ranges, and is solvable utilizing a modified Bellman-Ford algorithm.

REFERENCES:
patent: 5570045 (1996-10-01), Erdal et al.
patent: 6239611 (2001-05-01), Matera
patent: 6255880 (2001-07-01), Nguyen
patent: 6356132 (2002-03-01), Mastrocola et al.
patent: 6437713 (2002-08-01), Lesea
patent: 6593791 (2003-07-01), Weintraub
U.S. Appl. No. 09/864,276, filed May 25, 2001, “Signal Distribution Scheme in Field Programmable Gate Array (FPGA) or Field Programmable System Chip (FPSC) Including Cycle Stealing Units.”
R. Bar-Yehuda et al., “Efficient Algorithms for Integer Programs with Two Variables per Constraint,” Proceedings of the 7th Annual European Symposium on Algorithms, pp. 1-16, 1999.
D.P. Singh et al., “Constrained Clock Shifting for Field Programmable Gate Arrays,” Proc. 10th ACM Int. Symp. on FPGAs, pp. 121-126, 2002.
R.B. Deokar et al., “A Graph-Theoretic Approach to Clock Skew Optimization,” Proc. ISCAS, pp. 1.407-1.410, 1994.
A. Vittal et al., “Clock Skew Optimization for Ground Bounce Control,” ICCAD, pp. 395-399, 1996.
M.C. Papaefthymiou, “Asymptotically Efficient Retiming Under Setup and Hold Constraints,” Proc. ICCAD, pp. 396-401, 1998.
D. Velenis et al., “Demonstration of Speed Enhancements on an Industrial Circuit Through Application of Non-Zero Clock Skew Scheduling,” IEEE, pp. 1021-1025, 2001.
N.A. Kurd et al., “A Multigigahertz Clocking Scheme for the Pentium® 4 Microprocessor,” IEEE Journal of Solid-State Circuits, vol. 36, No. 11, pp. 1647-1653, 2001.
C.E. Leiserson et al., “Retiming Synchronous Circuitry,” Digital Equipment Corp. Systems Research Center, pp. 1-42, 1986.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method and apparatus for controlling signal distribution in... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method and apparatus for controlling signal distribution in..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method and apparatus for controlling signal distribution in... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3429692

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.