Integrated cobalt silicide process for semiconductor devices

Semiconductor device manufacturing: process – Coating with electrically or thermally conductive material – To form ohmic contact to semiconductive material

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S677000, C438S683000, C438S906000, C438S908000

Reexamination Certificate

active

06184132

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates generally to a method and apparatus for fabricating semiconductor devices and, in particular, to a method and apparatus for forming cobalt silicide on a semiconductor substrate.
2. Description of Related Art
One of the preferred materials useful in forming silicide regions in the fabrication of semiconductor integrated circuits is cobalt. The use of cobalt to form silicide regions is preferred over alternative materials such as titanium and its alloys, platinum or palladium, because cobalt silicide provides low resistivity, allows shallow junctions and lower-temperature processing, and other such advantages.
In the prior art, cobalt silicide is formed on a semiconductor device by depositing a layer of cobalt on a silicon substrate and then annealing the cobalt containing surface to form cobalt silicide. Various methods are known in the art for depositing the cobalt on the silicon and include sputtering and evaporation. For convenience, the following description will be related to a sputtering process using a vacuum sputtering device, but it will be appreciated by those skilled in the art that other such devices and processes may be used to deposit cobalt on a silicon surface.
In the sputtering process, a coating of cobalt is deposited on the surface of a semiconductor substrate or wafer. The thickness of the cobalt coating is typically up to about 300 Å and extends across the exposed surfaces of the wafer. The cobalt is typically sputtered onto a heated wafer using an energy field on the cobalt target to generate cobalt atoms in an atmosphere of argon. After cobalt deposition, the wafer is subjected to a heat treatment to anneal the cobalt to form cobalt silicide. The non-reacted cobalt layer is then removed by an etch treatment using an etchant, such as a mixture of hydrogen peroxide and sulfuric acid.
The formation of cobalt silicide is important for very high density semiconductor devices where the feature size is reduced to a fraction of a micrometer. The silicide formed needs to provide good ohmic contacts, reduce the sheet resistivity of source/drain regions and polysilicon interconnections, increase the effective contact area and provide an etch stop.
Unfortunately, cobalt silicide formation on the surface of the silicon substrate is not uniform for resistance and leakage across the wafer surface due to the presence of native silicon oxide on the surface of the silicon. Native oxide forms on the surface of the substrate during air exposure. The presence of native oxide on the wafer prevents cobalt silicide formation because cobalt cannot consume the oxides on the wafer surface during the deposition process. Metals such as titanium do not have this problem since titanium readily reacts with oxide and exposes clean silicon.
The native oxide may be removed from the substrate by pre-cleaning prior to cobalt deposition, but exposure of the cleaned semiconductor substrate to even low pressure environments results in oxide growth on the cleaned surface in seconds. In addition, this removal of native oxide is not uniform across the wafer or consistent wafer-to-wafer. Ex-situ pre-cleaning of the wafer is not a solution because the argon gas used in the device during sputtering occupies damaged locations in the silicon, prevents silicide formation and oxides readily reform on the substrate surface.
Currently, conventional processes for removing the native oxide from a silicon substrate prior to the deposition of cobalt include a buffered hydrofluoric acid (“HF”) cleaning process, followed by air exposure of less than two hours and then cobalt deposition. A nitrogen triflouride (“NF
3
”) cleaning process, followed by a buffered HF cleaning, air exposure of less than two hours and then cobalt deposition, has been proposed, and shows some benefits over prior processes. However, since the substrate cleaning processes of the prior art allow the cleaned substrate to be exposed to air prior to the deposition process, oxide formation on the silicon substrate continues to present a problem.
After pre-cleaning, the wafers are loaded into a sputtering device which is subsequently evacuated to a low pressure. The remaining native oxide is removed by an in-situ RF sputter clean in an argon atmosphere prior to cobalt deposition. However, where charge damage is a concern, this process is not effective since the wafer can reoxidize in 1 second at 10
−7
torr and the argon gas occupies damaged locations in the silicon. This prevents silicide formation and oxides readily reform prior to cobalt deposition.
While the formation of a silicide on a silicon substrate is discussed in the prior art, the problem of oxide formation due to air exposure is not resolved. For instance, in U.S. Pat. No. 5,780,361, a process is disclosed wherein a substrate is subject to an HF wet etch, followed by cobalt deposition and an annealing process to form monocobalt disilicide. The prior art does not describe any in-situ cleaning of the substrate prior to cobalt deposition, and if there is an oxide interface present on the substrate, the desired mono-silicide reaction will not take place.
Bearing in mind the problems and deficiencies of the prior art, it is therefore an object of the present invention to provide a method and apparatus to prevent the formation of an oxide on the surface of a semiconductor substrate prior to cobalt deposition.
It is another object of the present invention to provide a method and apparatus to eliminate air exposure of a semiconductor substrate prior to cobalt deposition.
A further object of the present invention is to provide a method and apparatus to reduce the criticality of time windows for cobalt deposition after substrate pre-cleaning due to air exposure.
It is also an object of the present invention to provide a method and apparatus for the uniform formation of cobalt silicide across the surface of a semiconductor substrate.
Still other objects and advantages of the invention will in part be obvious and will in part be apparent from the specification.
SUMMARY OF THE INVENTION
The above and other objects and advantages, which will be apparent to one of skill in the art, are achieved in the present invention which is directed to, in a first aspect, a method of forming a silicide on a semiconductor substrate. The method comprises the steps of providing a semiconductor substrate, preferably a silicon substrate, having an oxide on a surface thereof and creating a vacuum over the surface having the oxide. While in a constant vacuum, the oxide is removed from the surface of the substrate, preferably using a nitrogen triflouride cleaning process. Without breaking the vacuum, a metal, preferably cobalt, is deposited on the cleaned surface of the substrate. It is preferred that the metal is deposited on the substrate surface by vapor sputtering. A silicide is then formed on the substrate surface. In the preferred embodiment the silicide is cobalt silicide and is formed by annealing the substrate after the cobalt is deposited on the cleaned substrate surface.
In the preferred embodiment, the substrate is placed into a vacuum device having a plurality of interior chambers and adapted to provide a constant vacuum in each of the interior chambers during the oxide removal and metal deposition steps. The vacuum device preferably includes at least one chamber to remove the oxide and at least one chamber to deposit the metal. In the preferred embodiment the process further includes the step of transferring the substrate between the oxide removal chamber and the metal deposition chamber without breaking the vacuum.
In another aspect, the present invention comprises an apparatus for forming a silicide on a surface of a semiconductor substrate. The apparatus is adapted to form a vacuum therein and is further adapted to remove an oxide from the surface of the substrate and deposit a metal on the surface of the substrate while maintaining the vacuum. In the preferred embodiment, the apparatus comprises a chambe

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Integrated cobalt silicide process for semiconductor devices does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Integrated cobalt silicide process for semiconductor devices, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Integrated cobalt silicide process for semiconductor devices will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2598334

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.