In-situ cleaning of a polymer coated plasma processing chamber

Cleaning and liquid contact with solids – Processes – Using sequentially applied treating agents

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C134S001100, C134S022100, C134S031000, C134S902000, C118S715000, C118S7230AN, C118S7230ER, C438S694000, C438S695000, C438S696000, C438S706000, C438S710000, C438S719000, C438S724000, C438S905000, C427S534000, C427S535000, C427S579000

Reexamination Certificate

active

06776851

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention generally relates to an apparatus and method of cleaning a processing chamber to remove previously deposited chamber residues, which have accumulated on interior surfaces of the apparatus. In particular, the invention relates to a polymer coating being applied to the inner surfaces of a processing chamber that is removed along with any residues deposited on the coating during processing operations.
2. Description of the Related Art
As semiconductor processes deal with smaller geometries being defined on semiconductor substrates it is becoming more difficult to maintain the uniformity and accuracy of critical dimensions. Moreover, it has become increasingly important that the environment inside the processing chamber be clean and consistent to ensure acceptable wafer to wafer variability of the critical dimensions. As is known in the art, many of the processes carried out within the semiconductor processing chambers leave deposits on the inner surfaces of the processing chamber. As these deposits accumulate over time, they can become a source of particulate contamination that is harmful to the substrates being processed. For example, the particulate contamination may flake off and fall onto the surface of the substrate if the particulate contamination is allowed to build up over time.
Although care and caution is involved during the monitoring of a chemical process, more often than not, undesired residues are deposited on the inner surfaces of the process chambers where the processes are taking place. The undesired residues, unfortunately, get deposited in and around the walls of the processing chamber. The build up of residues inside the processing chamber, over time, not only make the processes unreliable and shifted from baseline, but also result in degraded, defective substrates due to particulate contamination that builds up over time on the inner surfaces of the chamber. Without frequent cleaning procedures, impurities from the residue deposited on the chamber's inner surfaces can migrate onto the substrate. In addition, process etch rates or deposition rates can vary over time due to the changing chamber conditions from residue build-up resulting in out of control process performance. As alluded to above, the build up of deposits on the inner surfaces of the chamber causes an inconsistent environment which impacts the processing operation being performed. That is, the build up of deposits increases with each processing operation. Thus, each successive processing operation does not initiate with the same chamber conditions. Accordingly, the changed starting conditions for each successive processing operation causes a variance that eventually exceeds acceptable limits, which results in etch rate drift, critical dimension drift, profile drift, etc.
One attempt to solve these issues has been to run in-situ cleaning processes in between processing operations. However, these cleaning processes tend to leave residues of their own behind. Thus, as a result of attempting to clean the processing chamber of one contaminant, the cleaning process leaves behind another residue that may build up over time and eventually flake off onto a semiconductor substrate. In addition, failure to completely clean the etch chamber effects the processing of the next semiconductor substrate. That is, the reproducibility and repeatability of the etch rate from wafer to wafer is gradually impacted such that the processing chamber will have to be wet cleaned in order to perform processing within acceptable limits. Thus, the system throughput is adversely impacted because of the restricted mean time between wet cleans.
FIG. 1
is a simplified cross-sectional view of an etch chamber. Etch chamber
100
includes RF coil
102
disposed over window
104
. A semiconductor substrate
106
to be processed rests on substrate support
108
. In between each process operation, a wafer-less auto clean (WAC) process can be performed in order to minimize buildup of residues on the inner surface of etch chamber
100
. However, it has been observed that the WAC process itself leaves particulates or residues
110
, on the inner surfaces of chamber
100
. As more residue
110
accumulates on the inner surfaces, the impact on the processing operation, such as an etch operation, becomes more severe because of the residue buildup.
Eventually a wet clean operation must be performed to remove residues that are not entirely removed by the in-situ cleaning process or residues left behind by the cleaning process. Unfortunately, such cleaning operations affect a substrate processing system's utilization in a variety of ways. For example, system utilization is reduced by the time involved in performing cleaning operations. When a wet clean is performed, opening the processing chamber and physically wiping the chamber's interior surfaces results in even more downtime because the processing environment must subsequently be re-stabilized. Moreover, the re-stabilization of the chamber condition requires processing many wafers to condition the chamber back to the pre-wet clean operating chamber state without excessive residue build-up.
In view of the foregoing, what is needed is a method and apparatus for in-situ cleaning of a process chamber that does not leave any residue, so that the chamber state is the same for every wafer being processed, thereby allowing for reproducible and repeatable process operations for each successive wafer and extending the mean time between wet cleans.
SUMMARY OF THE INVENTION
Broadly speaking, the present invention fills these needs by providing a method and apparatus for in-situ cleaning of a process chamber that provides a removable coating to the inner surfaces of the process chamber. The removable coating allows for consistent starting conditions for each wafer being processed. It should be appreciated that the present invention can be implemented in numerous ways, including as an apparatus, a system, a device, or a method. Several inventive embodiments of the present invention are described below.
In one embodiment, a method for removing chamber deposits in between process operations of a semiconductor process chamber is provided. The method initiates with depositing a carbon and fluorine containing polymer layer over an inner surface of a semiconductor process chamber when the semiconductor chamber is empty. Then, a wafer is introduced into the semiconductor process chamber after depositing the fluorine containing polymer layer. Next, a process operation is performed on the wafer. The process operation deposits a residue on the fluorine containing polymer layer covering the inner surface of the semiconductor process chamber. Then, the wafer is removed from the semiconductor process chamber. Next, an oxygen based cleaning operation is performed. The oxygen based cleaning operation liberates fluorine from the fluorine containing polymer layer to remove a silicon based residue.
In another embodiment, a method for cleaning a process chamber to provide substantially similar starting conditions for each process operation is provided. The method initiates with introducing a wafer into a process chamber. Then, a process operation is performed on the wafer. Next, a solid source of fluorine is deposited on the inner surfaces of the process chamber as part of the process operation. Then, the wafer is removed from the process chamber. Next, an oxygen plasma cleaning operation is performed to remove carbon and silicon based residues deposited from the process operation.
In yet another embodiment, a semiconductor processing chamber is provided. The processing chamber includes a top electrode in communication with a power supply. A processing chamber defined within a base, a sidewall extending from the base, and a top disposed on the sidewall is provided. The processing chamber has an outlet enabling removal of fluids within the processing chamber. The processing chamber includes a substrate support and an inner surface of the pr

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

In-situ cleaning of a polymer coated plasma processing chamber does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with In-situ cleaning of a polymer coated plasma processing chamber, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and In-situ cleaning of a polymer coated plasma processing chamber will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3292607

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.