High density integrated circuits using tapered and...

Active solid-state devices (e.g. – transistors – solid-state diode – Combined with electrical contact or lead – Of specified configuration

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C257S413000, C257S640000, C257S649000, C257S752000, C257S754000, C257S759000, C257S760000, C257S773000, C257S775000

Reexamination Certificate

active

06278189

ABSTRACT:

BACKGROUND OF THE INVENTION
(1) Field of the Invention
The present invention relates to manufacturing of semiconductor devices in general, and in particular, to manufacturing of contact holes using self-aligned etching and tapering processes together.
(2) Description of the Related Art
Conventional fabrication of contact holes in semiconductor devices normally requires several masking and etching process steps. Holes, or windows, that are made to open up on to areas where there are semiconductor devices that are formed in a substrate, such as silicon or gallium-arsenide, are called contact holes. On the other hand, holes that are made to form a connection between conductive layers in a semiconductor wafer are known as via holes. Whether contact or via holes, they are later filled with conductive material to establish contact between the various components of an integrated circuit.
Holes are made by etching through the insulation layers that separate the conductive layers. Specifically, a photoresist is first applied as a thin film to the insulating layer and subsequently exposed through a mask. The mask contains clear and an opaque features that define the pattern of a hole. The area of the photoresist film which is exposed to light is soluble (in the case of a positive photoresist) and the exposed portion is removed in a subsequent development process. The remainder of the photoresist film acts as an etch-mask. A succeeding etching step removes the exposed part of the insulating layer but does not remove the insulating layer located under the photoresist mask. This results in the formation of a hole.
In fabricating holes in the sub-micron range, aligning hole patterns on a mask over the area where the hole is to be formed can be critical. As is well known, in a MOS structure for example, the area of the source/drain regions cannot easily be minimized commensurate with the sub-micron technologies because the contact hole has to be aligned to these regions with a separate masking step, and extra area has to be allocated for misalignment. However, the larger area results in increased source/drain-to-substrate junction capacitance, which slows down the device speed. Also, if the contact resistance is to be minimized for smaller contact holes contacting sub-micron size devices, all of the area that is available must be used without sacrificing any areas for want of proper alignment. Therefore, alternative contact structures must be devised. One such structure is that which is formed by means of what is called self-alignment. In a self-aligned contact (SAC) structure, the contact on the source/drain regions is formed at the same time that another contact is formed on the gate of a MOS structure, hence eliminating the need for separate masking steps for forming contact with the gate and then the source/drain. After the formation of contacts, additional steps are needed to form the contact holes to make the necessary connections between the devices of the integrated circuit, as is well known in the art. Furthermore, depending upon whether they are peripheral circuits or cells in the integrated circuit chip, different steps may be required in making the holes because of different etch requirements for different underlying materials in the holes, as will be described later along with a hole contouring method for tapering.
A SAC process for forming contact with silicon is the well-known self-aligned silicide (salicide) process which reduces the number of masking steps. Silicides are binary metal compounds of silicon which are formed by well known methods such as co-evaporation or co-sputtering of a refractory metal and silicon. It is the reaction of suicides with silicon that enables the SAC process. Namely, after the source and drain regions (n
+
) of the well-known MOS structure (see, for example, S. Wolf and R. N. Tauber, “Silicon Processing for the VLSI Era,” vol. 3, Lattice Press, Sunset Beach, Calif., 1990, p 398) on a substrate (
10
) shown in
FIG. 1
a
have been implanted to form the source/drain junctions, the polysilicon (poly-Si) gate (
30
) sidewall spacers (
40
) are formed. As is commonly practiced in the art, sidewall spacers are formed by first depositing oxide on the MOS structure and etching anisotropically to leave the corners of the gate filled with residual oxide to form the spacers (
40
) shown in
FIG. 1
b
. Oxide spacers prevent the gate and source/drain areas from being electrically connected when a metal (
50
) used to form silicide is deposited. As the whole structure is then heated, the deposited metal reacts with silicon wherever it contacts with silicon including polysilicon. Everywhere else, the metal remains unreacted. The unreacted metal is selectively removed through the use of an etchant that does not attack the silicide (
60
), the silicon substrate (
10
), or oxide (
20
). As a result, each exposed source and drain region is now completely covered by a silicide film and none elsewhere as shown in
FIG. 1
c.
Following prior art, the next step in completing the process of forming a contact is to deposit an insulating dielectric (
70
) onto the silicide, and open holes (
90
) through it down to the silicide layer. Finally, a metal (
95
) is deposited into the contact holes to make contact with the silicide, thus forming an integrated circuit. It will be noted that after the formation of silicon contact by means of self-aligned salicide, additional masking and etching steps are required to form the contact holes. Furthermore, it. will be seen below that the contact holes must also be shaped or contoured in a manner that makes them reliable for which additional steps are required. A method is proposed in this invention where not only self-aligned contacts (SAC) but also self-aligned holes (SAH) can be formed together with the process of contouring the walls of the hole. Thus, at the same time the masking steps are reduced, contact reliability as well as planarization, as explained later, are improved.
As is well appreciated in the art, the geometry and size of the holes govern how well and reliably the contacts can be made. For example, if the walls of the hole are straight and vertical, then the metal that is deposited into the hole may not cover the edge of the hole properly. That is, the metal at the edge of the hole may be sharp and thin, giving rise to higher electrical resistance, or, for that matter, to breakage later on. In prior art, this is sometimes referred to as the “step coverage” problem and numerous methods have been devised to overcome it. Contouring the sidewalls or tapering the edge of the hole are some of the techniques that are used and are described below briefly. However, it will be seen that the conventional techniques are complex and complicated.
The additional steps in forming contact holes (
90
) over source/drain regions after the formation of SAC are shown in
FIGS. 2
a
-
2
c
.
FIG. 2
a
depicts the regions near either the source or drain of
FIG. 1
e
. In order to form contact hole (
90
), substrate (
10
) is first covered with an oxide which typically is thermal oxide (
70
). (It will be noted that like elements are denoted by like reference numerals through the various Figures). Then, photoresist (
80
) is applied as a thin film over the oxide layer (
70
). It is now necessary to image the photoresist with the contact hole pattern using the well-known photolithographic techniques including the development step as explained earlier. The remaining photoresist film is then used as an etch-mask (
80
) containing openings (
85
) for contact holes to be formed in the next step. A “window” or contact hole is etched into oxide layer (
70
) through hole pattern (
85
) in photoresist mask (
80
). After the formation of contact hole (
90
), photoresist mask (
80
) is removed as shown in
FIG. 2
b.
Prior to the final step of deposition of metal (
95
), the surface of the silicon wafer is cleaned to remove the thin native-oxide layer that rapidly forms on a silicon surface whenever it is exposed to oxygen, such as in air. Meta

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

High density integrated circuits using tapered and... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with High density integrated circuits using tapered and..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and High density integrated circuits using tapered and... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2460123

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.