Gas processing apparatus baffle member, and gas processing...

Coating apparatus – Gas or vapor deposition

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C427S248100

Reexamination Certificate

active

06436193

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a gas processing technology, in particular, to a gas processing apparatus, a baffle member, and a gas processing method for use in fabrication of semiconductor devices.
2. Description of the Related Art
When semiconductor devices are fabricated, a gas processing apparatus that supplies various types of gas to a processing chamber and performs a predetermined process for a workpiece is used.
As an example of such a gas processing apparatus, a CVD (Chemical Vapor Deposition) apparatus is known.
In the CVD apparatus, various types of gas as row materials are supplied to a workpiece such as a wafer disposed in a processing chamber. Using thermal energy of the wafer, plasma excitation, and so forth, gas as a chemically active reaction seed causes a thin film to be formed on the wafer. Thus, to equally form a thin film on the entier surface of the wafer using such an apparatus, it is necessary to uniformly supply the gas to the entire surface of the wafer.
To uniformly supply a gas to the entire surface of the wafer, in the CVD apparatus, a gas processing apparatus that has a gas supplying means and a shower head is used. The gas supplying means is disposed at a position where it faces the processing surface of the wafer. The shower head is structured as shown in FIG.
13
. The shower head supplies the gas to the wafer.
FIG. 13
is a sectional view showing the structure in the vicinity of the shower head of the gas processing apparatus.
As shown in
FIG. 13
, the shower head
41
is disposed so that the bottom surface as the spray side of the shower head
41
faces a wafer
42
. A gas delivery pipe
43
is connected to an upper portion of the shower head
41
. The gas delivery pipe
43
causes the gas to be delivered to the interior of the shower head
41
. A spray plate
44
is disposed at a lower portion of the shower head
41
. The spray plate
44
composes a bottom side partition wall of the shower head
41
. Many spray holes
45
are formed as gas spray holes on the spray plate
44
. The gas delivered from the gas delivery pipe
43
to the interior of the shower head
41
is supplied to the surface of the wafer
42
through the spray holes
45
.
A shower head that has a plate with many small through-holes that cause the difference between the gas flow amount of the gas that sprays from the center of the shower head and the gas flow amount of the gas that sprays from the periphery thereof to decrease has been proposed. The plate is termed baffle plate. An example of the structure of the baffle plate is shown in FIG.
14
.
FIG. 14
is a sectional view showing the structure in the vicinity of a shower head of a gas processing apparatus having a baffle plate.
As shown in
FIG. 14
, a baffle plate
47
having many through-holes is disposed between a spray plate
44
of a shower head
41
and a connecting portion of a gas delivery pipe
43
. With the baffle plate
47
, gas delivered from the gas delivery pipe
43
is temporarily stored in a baffle space
48
on the upstream side of the baffle plate
47
.
Thus, the irregularity of dynamic pressure of the gas delivered from the gas delivery pipe
43
decreases. Consequently, the flow amount of the gas that flows in the through-holes
46
becomes almost equal. The resultant gas is supplied to a shower pre-chamber
49
on the downstream side of the baffle plate
47
.
Thus, the irregularity of the pressure in the shower pre-chamber
49
decreases. Consequently, the flow amount of the gas sprayed from the spray plate becomes constant. Thus, the gas is uniformly supplied to the entire surface of the wafer
42
.
To cause the flow amount of the gas that flows in the through-holes
46
to be equal, it is effective to decrease the hole diameters of the through-holes
46
so as to increase the flow pressure loss of the through-holes
46
. When the hold diameters of the through-holes
46
are decreased, the pressure in the baffle space
48
rises and thereby the irregularity of the pressure due to the dynamic pressure of the gas decreases. In addition, since the difference between the pressure of the upper portion and the pressure of the lower portion (the pressure in the baffle space
48
and the pressure in the shower pre-chamber
49
) of the baffle plate
47
becomes large. Thus, the pressure at each position of the baffle plate
47
becomes almost equal. As a result, the flow amount of the gas that flows in the through-holes
46
becomes almost equal.
However, due to the restriction of the machining accuracy, the cost restriction, the limitation of the pressure on the upstream side of the baffle plate
47
, and so forth, the hole diameters of the through-holes
46
cannot be satisfactorily decreased. Thus, the gas cannot be uniformly supplied to the entire surface of the wafer
42
.
In addition, as the hole diameters of the through-holes
46
decrease, the flow rate of the gas increases. Thus, the dynamic pressure of the gas that flow from the through-holes
46
increases. Thus, the backing pressure of the spray holes
45
in the vicinity of the lower portion of the through-holes
46
locally rises. Consequently, the flow amounts of the spray holes
45
become irregular.
In a related art reference disclosed as Japanese Patent Laid-Open Publication No. 1-139771, spray holes are formed in such a manner that the hole diameters on the gas outlet side are larger than those on the gas inlet side. Thus, since gas that flows in the spray holes expands and diffuses on the outlet side, the gas uniformly sprays.
However, according to such a related art reference, gas is uniformly sprayed from each spray hole. In other words, the flow amount of gas sprayed from spray holes at the center portion of the spray plate is different from that at the peripheral portion of the spray plate. Thus, according to the related art reference, gas cannot be uniformly supplied to the entire surface of the wafer.
SUMMARY OF THE INVENTION
The present invention is made to solve the above-described problems. In other words, an object of the present invention is to provide a gas processing apparatus and a gas processing method that allow gas to be uniformly supplied to the entire surface of a workpiece. Another object of the present invention is to provide a baffle member for use with the gas processing apparatus and the gas processing method.
A first aspect of the present invention is a gas processing apparatus, comprising a processing chamber that is airtightly structured, a gas delivery pipe connected to the processing chamber, a gas supply source for supplying gas to the processing chamber through the gas delivery pipe, a holding table for holding a workpiece loaded to the processing chamber, a shower member disposed at a gas outlet of the gas delivery pipe connected to the processing chamber, a spray plate structured as a partition wall of the shower member that faces the holding plate, the spray plate having a plurality of spray holes, and a baffle member disposed between the spray plate in the shower member and the gas outlet and having a plurality of through-holes formed perpendicular to the surface of the baffle member, wherein each of the through-holes of the baffle member has a first opening portion and a second opening portion facing the gas outlet, the second opening portion facing the spray plate, the opening area of the second opening portion being larger than the opening portion of the first opening portion.
In the gas processing apparatus, the through-holes are formed so that their axes are perpendicular to the front surface of the baffle member.
For example, each of the through-holes is a two-diameter hole. A large diameter hole portion and a small diameter hole portion of each through-hole are connected on one straight line so that the center of the bottom of the large diameter hole portion matches the center of the bottom of the small diameter hole portion (hereinafter, the straight line is referred to as “same axis”). When each through-hole has a convex section, the same

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Gas processing apparatus baffle member, and gas processing... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Gas processing apparatus baffle member, and gas processing..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Gas processing apparatus baffle member, and gas processing... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2893001

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.