Facilitating verification in abutted-pin hierarchical...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000, C716S030000, C716S030000, C716S030000

Reexamination Certificate

active

06757874

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention generally relates to the field of integrated circuit design. More particularly, the present invention relates to the field of software tools for hierarchical physical design.
2. Related Art
The tremendous advances in technology have been fueled by improvements in integrated circuit design. In particular, integrated circuits have become smaller and more complex. Integrated circuit design engineers depend on electronic design automation (EDA) software tools to facilitate the design of integrated circuits.
Typically, the integrated circuit design process begins with a specification which describes the functionality of the integrated circuit and may include a variety of constraints. Then, during a logic design phase, the logical implementation of the integrated circuit is determined. Several operations are performed to obtain a logical representation of the integrated circuit. Generally, EDA software tools use register transfer logic (RTL) to represent the integrated circuit. However, additional EDA software tools may be used.
After completing the logic design phase, the integrated circuit undergoes a physical design phase. Typically, the output of the logic design phase is a netlist, which is then used in the physical design phase. Here, EDA software tools layout the integrated circuit to obtain a representation of the physical components in the integrated circuit, whereas the representation indicates the manner in which the integrated circuit will be implemented on a semiconductor chip. A variety of operations are performed on the layout of the integrated circuit.
At the end of the physical design phase, the representation of the semiconductor chip (in which the integrated circuit is implemented) is sent to a semiconductor manufacturing plant.
Typically, in the physical design phase, EDA software tools implement a flat physical design. For example, the components (standard cells, macrocells, etc.) of the integrated circuit are placed during a placement operation and are routed during a routing operation. However, as the integrated circuit becomes more complex, the EDA software tools struggle to perform the placement operation and the routing operation. In particular, the performance of the EDA software tools degrades since the EDA software tools have to manipulate very large files during the placement operation and the routing operation. Moreover, as the complexity of the integrated circuit increases, the time necessary to complete the physical design phase increases significantly.
Traditional hierarchical physical design has emerged as an alternative to the flat physical design.
FIG. 1
illustrates the traditional hierarchical physical design
100
. Here, the components of the integrated circuit are partitioned into a plurality of blocks
10
-
30
. Each block
10
-
30
includes a plurality of pins
50
, whereas each pin
50
represents a location where a signal can enter the block
10
-
30
or a location where a signal can exit the block
10
-
30
. As illustrated in
FIG. 1
, the traditional hierarchical physical design
100
includes a channel
40
. The channel
40
provides space in order to connect the pins
50
of the blocks
10
-
30
to one another via metal (not shown) or any other wiring material. The traditional hierarchical physical design
100
enables the placement operation and the routing operation (as well as other operations) for the blocks
10
-
30
to be performed in parallel with EDA software tools, reducing the time period of the physical design phase. Moreover, the performance of the EDA software tools is improved because the file for each block
10
-
30
is much smaller than the file for the entire integrated circuit of the flat physical design. More importantly, the EDA software tools are better suited to optimize each block
10
-
30
than to optimize the entire integrated circuit of the flat physical design. However, the traditional hierarchical physical design
100
generates wasted space in the channel
40
and generates wiring problems in the channel
40
, such as congestion and crosstalk. Moreover, the traditional hierarchical physical design
100
places and routes components at a top-level (shown in
FIG. 1
) and a block-level (within each block
10
-
30
), causing inefficiencies and causing problems with EDA software tools which are configured to operate with flat physical designs.
SUMMARY OF THE INVENTION
An abutted-pin hierarchical physical design process is described. The abutted-pin hierarchical physical design provides solutions to the problems of the traditional hierarchical physical design and provides additional advantages and benefits. In particular, the abutted-pin hierarchical physical design does not have channels. Moreover, in the abutted-pin hierarchical physical design, components of the top-level are merged into the block-level so that the top-level netlist is reduced significantly.
In the integrated circuit design flow according to an embodiment of the present invention, the physical design phase receives the netlist from the logic design phase. In addition, the physical design phase receives physical design information, whereas the physical design information can be any information about a prior integrated circuit that has undergone the physical design phase. In an embodiment, the physical design information is stored in a database.
In an embodiment of the present invention, the integrated circuit design flow of the present invention is utilized to optimize pin assignment. In an embodiment of the present invention, excess pins formed along a boundary between two blocks are removed.
In an embodiment of the present invention, a software tool that performs a “press” operation preserves the properties associated with a segment of a top-level shape despite the shape operation (e.g., AND) being performed with the block and the top-level shape to obtain the segment.
If the top-level object has the press property, the top-level object retains its location when the top-level object is “pressed” into a block. If the top-level object does not have the press property, the top-level object generally does not retain its location when the top-level object is “pressed” into the block.
If in the top-level netlist, the instantiation of a block includes a port that is unused, (thus, not needed for the top-level routing for pin assignment), a software tool removes the port from the top-level netlist, but the block-level netlist of the block remains unchanged.
Some software tools are not able to represent the relationship that more than one port is coupled to a pin. Hence, a software tool removes one of the ports from the netlist based on some criteria, such as whether a port is an input port or an output port.
If in the top-level netlist, the instantiation of the block includes a port that is tied to either the power line (
1
) or the ground line (
0
) rather to a port of another block, a software tool removes the port from the top-level netlist to avoid routing the port at the top-level. Moreover, the software tool ties the port to either the power line (
1
) or the ground line (
0
) in the block-level netlist of the block.
In an embodiment, a software tool performs an unwinding operation which adds to the block-level netlist—of bonding pad blocks—the ports (which were removed earlier by the software tool) that couple to the top-level inputs and to the top-level outputs. Thus, the netlist modified by the physical design phase (e.g., repeater and buffers are added to the netlist) can be compared with the netlist originally received from the logic design phase. In particular, formal verification, layout versus schematic (LVS) verification, and design rules check (DRC) verification can be performed by software tools.
In an embodiment, each block-level netlist is partitioned into a first netlist and a second netlist. The second netlist and its associated extraction file of each block and the top-level netlist and its associated extraction file are utilized by software tools to

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Facilitating verification in abutted-pin hierarchical... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Facilitating verification in abutted-pin hierarchical..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Facilitating verification in abutted-pin hierarchical... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3362001

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.