Etching methods and apparatus and substrate assemblies...

Stock material or miscellaneous articles – Structurally defined web or sheet – Including variation in thickness

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C428S167000, C428S901000, C438S710000, C438S706000, C438S719000, C438S723000

Reexamination Certificate

active

06635335

ABSTRACT:

TECHNICAL FIELD
The invention pertains to methods and apparatus for etching silicon wafers or other substrate assemblies and to substrate assemblies.
BACKGROUND OF THE INVENTION
The fabrication of very large scale integrated circuits requires processes that are compatible with small feature sizes (e.g. 0.25 &mgr;m). A particular problem is the etching of a silicon wafer or other substrate assembly to produce damascene layers, self-aligned contacts (SACs), or trench isolation. These features typically require etching relatively deeply into the wafer while maintaining a small footprint on the surface of the substrate assembly, i.e., these features have a high aspect ratio (HAR), with a depth to width (on the surface of the substrate assembly) ratio of 4:1 or larger.
Features to be etched into a substrate assembly are typically defined with a layer of photoresist that is spin-coated or otherwise applied onto a surface of the substrate assembly and then photolithographically patterned. After patterning, some areas of the substrate assembly surface remain covered by the photoresist layer while other areas are exposed. The covered substrate assembly is exposed to an etch and the photoresist layer prevents etching except in the exposed areas.
Etching of HAR features requires anisotropic etches that etch more rapidly in one direction than another. Conventional wet etches include dilute solutions of acids such as hydrofluoric acid. While wet etching is simple and inexpensive, wet etching is generally inadequate to produce HAR features because wet etches tend to etch isotropically. In addition, it is difficult to etch deep HAR features into a substrate assembly because the etchant does not flow freely into and out of the feature. Therefore, even if a wet etch begins to etch properly, etchant is consumed within the feature being etched and is replenished slowly.
Dry etching with plasmas is also used for etching substrate assemblies. In plasma etching, a gas or gas mixture is fragmented and ionized and the ions produced are accelerated toward the substrate assembly. When the ions reach the substrate assembly, they combine chemically with the substrate assembly to form volatile compounds that are readily driven off of the substrate assembly. In some cases, the mechanical impact of the ions with the substrate assembly also serves to etch the substrate. Because of the acceleration of the ions toward the substrate assembly, etching is anisotropic and proceeds rapidly on surfaces that are perpendicular to the propagation direction of the ions.
Unfortunately, dry etching with a plasma has significant limitations. While plasmas etch anisotropically, a plasma etches both the substrate assembly and the photoresist that defines the features to be produced. As a result, the total etching time is limited by the time required for the plasma etch to penetrate the photoresist. When the photoresist is penetrated, further etching is no longer limited to the intended substrate locations, but occurs in all substrate areas that are not protected by the photoresist. Photoresists typically etch four to five times more slowly than typical substrate materials to be etched (such as silicon or silicon oxide). Etching processes in which a substrate material is etched at a rate of less than about eight times the rate at which a resist etches are referred to herein as “resist-consuming.”
Etching deep HAR features requires thick layers of photoresist to permit long etch times and such thick layers complicate the photolithographic patterning process. For example, to etch a HAR feature 3000 nm deep requires a photoresist thickness of as much 750 nm. Patterning a feature as small as about 250 nm is very difficult in such a thick layer of photoresist.
Other factors limiting plasma etching include the difficulty of providing a selected distribution of ions (charged particles) and neutral particles at the substrate surface and at the bottom of a feature being etched. Accordingly, improved etching methods are needed, especially for etching high aspect ratio features. A resist layer has a nominal thickness and a facet thickness, either or both of which are maintained, preserved, or increased in the disclosed methods and apparatus.
SUMMARY OF THE INVENTION
Methods and apparatus for etching substrate assemblies are disclosed in which a surface of a substrate assembly is etched while a thickness of a resist layer increases, remains constant, or decreases much more slowly than in a conventional etching process.
In a representative embodiment, the substrate assembly is exposed to a plasma made in a selected gas, which may be a gas mixture, at a selected flow rate. The gas and flow rate are selected by exposing a resist layer to the plasma formed in the gas and determining a range of flow rates for which the thickness of the resist layer, including any material deposited on the resist layer by the plasma, increases, remains constant or decreases more slowly than in known approaches. The etching rate of the selected gas is then measured for this range of flow rates on a surface of a substrate assembly. A flow rate is then selected for etching the surface of the substrate assembly for which the resist thickness increases or otherwise changes in the desired manner while a surface is etched.
In an alternative embodiment, the flow rate is selected so that the resist is etched much more slowly (for example ten to twenty times) more slowly than the surface of the substrate assembly. In some embodiments, the substrate assembly includes a silicon oxide layer that is etched with the selected gas at the selected flow rate.
A method of plasma etching is provided in which a high aspect ratio feature is etched into a surface of a substrate assembly while a resist layer covering a portion of the surface thickens, remains a constant thickness, or thins by less than about 25 nm. In addition, the method may provide a controlled etch profile so that the sides of the etched feature have taper or undercut angles of less than about ten degrees and, more specifically, in one approach less than about five degrees.
A method of anisotropically etching a substrate assembly is provided that comprises forming a resist layer on a surface of a substrate assembly and defining patterns in the resist layer by removing portions of the resist layer. The resist layer and the surface of the substrate are exposed to a plasma etch. In one specific approach, an exposed portion of the surface of the substrate assembly is etched by the plasma while the plasma increases the thickness of the resist layer. In another representative embodiment, the surface of the substrate assembly is exposed to a plasma generated in a gas consisting essentially of a fluorinated, chlorinated, or hydrogenated hydrocarbon gas or a mixture thereof. In additional embodiments, the thickness of the resist layer formed on the substrate assembly is less than about 600 nm. In a further embodiment, the resist layer has a thickness of d, and a high aspect ratio feature is etched into the substrate assembly to a depth D such that D/d>10.
Etched substrate assemblies are disclosed that may include an etched feature having an aspect ratio of at least 10:1 or higher, such as at least 20:1.
In additional embodiments, the substrate assembly includes a silicon oxide layer formed on a silicon wafer and the etched feature is etched into the silicon oxide layer.
In another method, a feature is etched into a substrate assembly by forming a resist layer on a surface of the substrate assembly and defining a feature on the surface by patterning the resist layer by removing the resist layer from at least a portion of the substrate assembly. The portion of the substrate assembly that is not covered by the resist layer is etched with a plasma generated in a flow of a first halogenated hydrocarbon containing gas or gas mixture. Simultaneously with the etching of the substrate assembly, the plasma increases the thickness of the resist layer and the feature is etched to have an aspect ratio of at least 10:1. In a further

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Etching methods and apparatus and substrate assemblies... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Etching methods and apparatus and substrate assemblies..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Etching methods and apparatus and substrate assemblies... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3163203

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.