Estimating software power consumption

Electrical computers and digital processing systems: support – Computer power control – Power conservation

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C713S300000, C713S310000, C713S321000, C703S013000, C703S014000, C703S022000, C716S030000, C716S030000, C716S030000

Reexamination Certificate

active

07549069

ABSTRACT:
Techniques are provided for characterizing processor designs and estimating power consumption of software programs executing on processors. A power model of a processor may be obtained by performing simulation using one or more training programs to obtain average power consumption during one or more windows of operation, then using the results to select parameters and coefficients for a processor characterization equation that can estimate power consumption while minimizing error.

REFERENCES:
patent: 5521834 (1996-05-01), Crafts et al.
patent: 5838947 (1998-11-01), Sarin
patent: 6002878 (1999-12-01), Gehman et al.
patent: 6021381 (2000-02-01), Ohnishi
patent: 6151568 (2000-11-01), Allen et al.
patent: 6397170 (2002-05-01), Dean et al.
patent: 6871172 (2005-03-01), Sanders
patent: 6895561 (2005-05-01), Blatt et al.
patent: 7000204 (2006-02-01), McGuffin et al.
patent: 7249331 (2007-07-01), Bose et al.
patent: 7421382 (2008-09-01), Blatt et al.
patent: 2003/0110019 (2003-06-01), Blatt et al.
patent: 2003/0110020 (2003-06-01), Blatt et al.
patent: 2005/0008069 (2005-01-01), Cao
patent: 2007/0198864 (2007-08-01), Takase
Tiwari V. et al., “Power Analysis of Embedded Software: A First Step Towards Software Power Minimization,” IEEE Communications Magazine, vol. 2, No. 4, pp. 437-445, Dec. 1994.
EP search report, EP application No. 07005237.8-2224; 7 pages, May 31, 2007.
“Bansal, et al., Power Monitors: A Framework for System-Level Power Estimation Using Heterogeneous Power Models” (7 pages), 2005.
Chang, et al., “Cycle-Accurate Energy Consumption Measurement and Analysis: Case Study of ARM7TDMI” (pp. 185-190), 2000.
Flinn, et al., “PowerScope: A Tool for Profiling the Energy Usage of Mobile Applications” (9 pages), 1999.
Lee, et al., “Power Analysis and Low-Power Scheduling Techniques for Embedded DSP Software” (6 pages), 1995.
Sama, et al., “Speeding Up Power Estimation of Embedded Software,” (6 pages), 2000.
Steinke, et al., “An Accurate and Fine Grain Instruction-Level Energy Model Supporting Software Optimizations” (pp. 3.2.1-3.2.10), 2001.
Tiwari, et al., “Power Analysis of Embedded Software: A First Step towards Software Power Minimization,” © 1994ACM(7 pages), 1994.
Coudert, et al., “What is the State of the Art in Commercial EDA Tools for Low Power,”ISLPED 1996Monterey CA USA, © 1996.
Russell, et al., “Software Power Estimation and Optimization for High Performance, 32-Bit Embedded Processors,” Proceedings for the ICCD '98, © 1998IEEE(6 pages), 1998.
Brandolese, et al., “An Instruction-Level Functionality-Based Energy Estimation Model for 32-Bits Microprocessors,” © 2000ACM(pp. 346-351), 2000.
Sami, et al., “Instruction-Level Power Estimation for Embedded VLIW Cores” CODES 2000, (pp. 34-38), 2000.
Givargis, et al. “A Hybrid Approach for Core-Based System-Level Power Modeling,” Proceedings of the Asia and South Pacific Design Automation Conference 2000,IEEE ISBN, (pp. 141-145), 2000.
Ye, et al., “The Design and Use of SimplePower: A Cycle-Accurate Energy Estimation Tool,”DAC2000, © 2000ACM(6 pages), 2000.
Hsieh, et al., “Microprocessor Power Analysis by Labeled Simulation,” © 2001IEEE(pp. 182-189), 2001.
Hamburgen, et al. “Computing Practices—Itsy: Stretching the Bounds of Mobile Computing,” © 2001IEEE(pp. 28-36), Apr. 2001.
Sinha, et al., “Joule Track—A Web Based Tool for Software Energy Profiling,” © 2001ACM(pp. 220-225), Jun. 2001.
Li, et al., “Run-Time Modeling and Estimation of Operating System Power Consumption,” SIGMETRICS '03, Jun. 10-14, 2003; © 2003ACM(pp. 160-171), Jun. 2003.
Fujitsu Semiconductor Controller Manual CM71-00333-1E, FR-V Family Softune™ Workbench, User's Manual for V6, Jul. 2003 the first edition. (83 pages), Jul. 2003.
Sinha, et al., “Instruction Level and Operating System Profiling for Energy Exposed Software,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 11, No. 6, Dec. 2003; © 2003IEEE(pp. 1044-1057).
Laurent, et al., “Functional Level Power Analysis: An Efficient Approach for Modeling the Power Consumption of Complex Processors,” Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, © 2004IEEE(2 pages), 2004.
Muttreja, et al., “Automated Energy/Performance Macromodeling of Embedded Software,” DAC2004, Jun. 7-11, 2004; © 2004ACM(pp. 99-102), Jun. 2004.
“Muttreja, et al., Hybrid Simulation for Embedded Software Energy Estimation,”DAC 2005, © 2005ACM(pp. 23-26), Jun. 2005.
EPO Form 2001; Communication pursuant to Article 94(3) EPC; office action regarding Application No. 07 005 237.8-2224 (4 pages), May 12, 2008.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Estimating software power consumption does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Estimating software power consumption, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Estimating software power consumption will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4105600

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.