Endpoint detection in substrate fabrication processes

Data processing: generic control systems or specific application – Specific application – apparatus or process – Product assembly or manufacturing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C204S192330, C438S009000, C156S345250, C216S060000

Reexamination Certificate

active

06813534

ABSTRACT:

BACKGROUND
The present invention relates to the detection of an endpoint of a process being performed on a substrate.
In substrate fabrication processes, semiconductor, dielectric, and conductor materials, such as for example, polysilicon, silicon dioxide, and aluminum layers are deposited on a substrate and etched to form patterns of gates, vias, contact holes or interconnect lines. The layers are typically formed by chemical vapor deposition (CVD), physical vapor deposition, or oxidation and nitridation processes. For example, in CVD processes, a reactive gas is decomposed to deposit a layer of material on the substrate and in PVD processes, a target is sputtered to deposit material on the substrate. In oxidation and nitridation processes, a layer of oxide or nitride, typically silicon dioxide or silicon nitride is formed on the substrate. In etching processes, a patterned mask layer of photoresist or hard mask is formed on the substrate by photolithographic methods, and the exposed portions of the substrate are etched by an energized gases, such as Cl
2
, HBr or BCl
3
.
In these processes, it is often desirable to stop processing of the substrate at a predetermined stage. For example, in conventional etching processes it is difficult to stop the etch process after etching only a thin layer of the substrate. As an example, in the etching of gate structures, it is desirable after etching for the remaining thickness of an underlying gate oxide layer to be close as possible to a predetermined and acceptable value so that the etching process does not damage any underlying polysilicon or silicon. The gate oxide layer is becoming thinner and thinner in the fabrication of high speed integrated circuits, making it more difficult to accurately etch through an overlying polysilicon layer without overetching into the underlying gate oxide layer. As another example, it is often desirable to form a layer having a controlled and predetermined thickness in deposition, oxidation and nitridation processes, and stop the process precisely when the desired thickness of layer is obtained.
Endpoint detection methods are used to measure the endpoint of the etching, deposition, oxidation or nitridation process. Endpoint measurement techniques include for example, plasma emission analysis in which an emission spectra of a plasma formed in the chamber is analyzed to determine a change in chemical composition that corresponds to a change in composition of the layer being etched, as taught in U.S. Pat. No. 4,328,068 which is incorporated herein by reference. As another example, U.S. Pat. No. 5,362,256 which is also incorporated herein by reference, discloses a method of monitoring etching or deposition progress by monitoring a plasma emission intensity at a selected wavelength and correlating variations in the plasma emission intensity with remaining film thickness, etch rate, etch uniformity and etch endpoint.
Another endpoint detection system useful for measuring a process endpoint before processing of an entire layer is completed is ellipsometry. In this method, a polarized light beam is reflected off the surface of a layer being etched and is analyzed to determine a phase shift and a change in magnitude of the reflected light that occurs upon etching through the layer, as for example disclosed in U.S. Pat. Nos. 3,874,797 and 3,824,017, both of which are incorporated herein by reference. Polarized light filters are used to measure the change in phase of the polarized light beam that is reflected from the surface of the substrate.
Interferometry is yet another endpoint detection method. An exemplary method is disclosed in U.S. Pat. No. 4,618,262 to Maydan et al, which is also incorporated herein by reference, and which discloses a laser interferometer system in which a laser beam is directed onto a layer being processed on a substrate. The laser and associated monitoring system provide a measured reflectance curve as the layer is being processed. A computer determines when a preselected etching depth has been reached by counting the number of maxima or minima of the reflectance signal to determine or by recognizing the end of the etching process based on cessation of a signal.
In yet another endpoint detection method, U.S. Pat. No. 5,846,882 to Birang discloses a method of detecting polishing endpoint in a chemical and mechanical polishing process. A monitor measures the power output to a monitor and generates an output signal representing the power input. An accumulator receives the output signal and a sum of the output signal is compared to a predetermined sum to indicate when an endpoint has been reached.
Each of these systems, especially the plasma emission analysis devices, suffer in that they sometimes provide positive indication that an endpoint has occurred, when in actuality endpoint has not yet occurred. The occurrence of such false endpoint signals or calls reduce the accuracy of the etching process and substrate yields are diminished. There is, therefore, a need to reduce the number of, or entirely eliminate, erroneous endpoint signals in the conventional endpoint detection methods such as those described herein. It is further desirable to have an endpoint detection method that accurately terminates a substrate fabrication process as soon as the desired thickness of a layer being processed on the substrate is achieved, and without damaging any underlying layers.
It is also desirable for data from the endpoint detection process or other data, such as process control data, to be accessible to multiple users without tying up, slowing down, or otherwise causing errors in the operation of the process chamber or its endpoint detection system.
SUMMARY
An endpoint detection method for a process performed in a substrate processing chamber with an energized gas, the method comprising:
(a) detecting a process variable of the process, the process variable comprising at least one of (i) a radiation emitted by the energized gas, (ii) a radiation reflected from a substrate in the chamber, (iii) a reflected power level of the energized gas, and (iv) a temperature in the chamber;
(b) issuing an endpoint signal when the process variable is indicative of an endpoint of the process;
(c) detecting a process parameter of the process, the process parameter comprising at least one of (i) a source power, (ii) an RF forward power, reflected power, or match components, (iii) an RF peak-to-peak voltage, current or phase, (iv) a DC bias level, (v) a chamber pressure or throttle valve position, (vi) a gas composition or flow rate, (vii) a substrate temperature or composition, (viii) a temperature of a chamber component or wall, and (ix) a magnetic confinement level or magnet position; and
(d) determining if the endpoint signal is true or false by evaluating the process parameter.
An endpoint detection method for a process performed in a substrate processing chamber with an energized gas, the method comprising:
(a) detecting a process variable of the process, the process variable comprising at least one of (i) a radiation emitted by the energized gas, (ii) a radiation reflected from a substrate in the chamber, (iii) a reflected power level of the energized gas, and (iv) a temperature in the chamber;
(b) issuing an endpoint signal when the process variable is indicative of an endpoint of the process; and
(c) detecting a process parameter of the process, the process parameter comprising at least one of (i) a source power, (ii) an RF forward power, reflected power, or match components, (iii) an RF peak-to-peak voltage, current or phase, (iv) a DC bias level, (v) a chamber pressure or throttle valve position, (vi) a gas composition or flow rate, (vii) a substrate temperature or composition, (viii) a temperature of a chamber component or wall, and (ix) a magnetic confinement level or magnet position;
(d) evaluating the process parameter to set a fault flag if the process parameter is not a predetermined value or is not in a range of predetermined values; and
(e) determining the endpoint signal to be (i) false if the endpoi

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Endpoint detection in substrate fabrication processes does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Endpoint detection in substrate fabrication processes, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Endpoint detection in substrate fabrication processes will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3274897

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.