Dynamically scalable cache architecture

Electrical computers and digital processing systems: memory – Storage accessing and control – Hierarchical memories

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C711S154000

Reexamination Certificate

active

07606976

ABSTRACT:
A technique for managing power consumption of a cache memory system dynamically adjusts the size of the cache memory system according to an energy level of an energy storage device. In at least one embodiment of the invention, an apparatus includes a dynamically scalable cache memory circuit including at least one cache memory circuit having an effective cache size selectable from a plurality of cache sizes. The apparatus includes a control circuit responsive to an energy level indicator of at least an approximate energy level of an energy storage device configured to provide energy to the dynamically scalable cache memory circuit. The control circuit is configured to select the effective cache size based at least in part on the energy level indicator.

REFERENCES:
patent: 5761715 (1998-06-01), Takahashi
patent: 5787292 (1998-07-01), Ottesen et al.
patent: 7127560 (2006-10-01), Cohen et al.
patent: 7136984 (2006-11-01), Maiyuran et al.
patent: 7395372 (2008-07-01), Correale et al.
patent: 7437513 (2008-10-01), Saida et al.
patent: 7478218 (2009-01-01), Bruening et al.
patent: 7506099 (2009-03-01), Iwanari et al.
patent: 2003/0145239 (2003-07-01), Kever et al.
patent: 2004/0054851 (2004-03-01), Acton et al.
patent: 2005/0080994 (2005-04-01), Cohen et al.
patent: 2005/0097277 (2005-05-01), Maiyuran et al.
patent: 2006/0077845 (2006-04-01), Nakai
patent: 2007/0168624 (2007-07-01), Kaler
patent: 2008/0040547 (2008-02-01), Abadeer et al.
Albonesi, David H., “Selective Cache Ways: On-Demand Cache Resource Allocation,” In Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 32), Nov. 1999, pp. 248-259.
Flautner, Krisztian et al., “Drowsy Caches: Simple Techniques for Reducing Leakage Power,” in Proceedings of the 29th Annual International Symposium on Computer Architecture, IEEE CS Press, 2002, pp. 148-157.
Hennessy, John L and Patterson, David A., “Memory Hierarchy Design,” in Computer Architecture a Quantitative Approach, Morgan Kaufmann Publishers, San Francisco, CA, 3rd Edition, 2003, Chapter 5, Sections 5.1 and 5.2, pp. 390-406.
Nii, Koji et al., “A Low Power SRAM Using Auto-Backgate-Controlled MT-CMOS,” In Proceedings of the 1998 International Symposium on Low Power Electronics and Design, Aug. 1998, pp. 293-298.
Powell, Michael et al., “Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories,” In Proceedings of the 2000 International Symposium on Low Power Electronics and Design, IEEE/ACM 2000, pp. 90-95.
Yang, Se-Hyun et al., “An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches,” In Proceedings of the 7th International Symposium on High-Performance Computer Architecture, IEEE, 2001, 11 pages.
Yang, Se-Hyun et al., “Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay,” In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA•02), 2002, 11 pages.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Dynamically scalable cache architecture does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Dynamically scalable cache architecture, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Dynamically scalable cache architecture will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4119419

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.