Directional CVD process with optimized etchback

Semiconductor device manufacturing: process – Formation of electrically isolated lateral semiconductive... – Grooved and refilled with deposited dielectric material

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S221000, C438S424000, C438S685000, C438S694000, C438S696000, C438S758000, C438S762000, C438S765000, C438S775000, C438S788000

Reexamination Certificate

active

06335261

ABSTRACT:

FIELD OF THE INVENTION
This invention relates to semiconductor processing, and more particularly to an etching process to be used in combination with a high density plasma chemical vapor deposition (HDP CVD) process for filling high-aspect-ratio features.
BACKGROUND OF THE INVENTION
In the processing of semiconductor devices, it is often necessary to fill a high-aspect-ratio feature (such as a trench formed in a substrate) with an insulating material. An example of such a feature is trench
10
formed as an opening in substrate
1
, shown in FIG.
1
A. The aspect ratio AR is the ratio of depth to width of the trench, so that AR=Y:X in FIG.
1
A. As device geometries continue to shrink and thermal budgets are reduced, void-free filling of high-aspect-ratio spaces (generally, AR>5:1) becomes increasingly difficult. Existing deposition processes, such as plasma-enhanced CVD (PECVD) and TEOS/ozone SACVD, either deposit more material on the upper region of a sidewall or form cusps at the entry of the feature. This results in the top part of a high-aspect-ratio structure being filled prematurely, leaving voids in the lower part.
Furthermore, as aspect ratios increase, the shape of the space becomes increasingly difficult to control; reentrant features may be formed in the space, making filling even more difficult. In addition, filling processes which depend on high-temperature film reflow (such as TEOS/ozone SACVD BPSG) are rapidly losing their utility, as advanced devices are limited to a maximum thermal budget of 650-700° C.
High density plasma (HDP) CVD of silicon dioxide, which is a directional CVD process, offers promise by permitting deposition of more material at the bottom of a high-AR structure than on the sidewalls thereof. However, even with this process there is more deposition on the upper part of the sidewall than the lower part. This situation is shown in FIG.
1
B. The bottom region
14
of the trench is partially filled with HDP CVD oxide
17
, while a layer
15
of HDP CVD oxide is deposited on the top surface
11
of the substrate. There is more oxide deposition at the upper part
12
of the sidewall than on the lower part
13
.
Furthermore, an overhang region
16
is formed on each side of the entrance to the trench, as a result of sputtering and redeposition reactions at the upper corners
8
of the trench (where surface
11
meets sidewall
12
). As the deposition proceeds, the overhang regions grow so that the gap
18
at the entrance becomes narrower; accordingly, less material reaches the bottom of the trench. The overhang cannot be eliminated because non-directional neutral reactions, redeposition reactions and sputtering effects are inherent in the physics and chemistry of the HDP CVD process. The overhang formation problem becomes more acute as the width of the feature decreases, the AR increases, or if reentrant features are present in the structure to be filled. If a trench has a reentrant profile
19
(see FIG.
1
C), the gap at the entrance to the trench may be closed before the lower region of the trench is filled.
If the deposition of the HDP CVD oxide
15
proceeds until the overhangs meet and close the entrance, a void
7
will be formed in the trench
10
, as shown in FIG.
1
D. At this point it is necessary to etch away the oxide layer
15
to re-open the entrance, and then resume the deposition process. This is referred to as a “dep/etch/dep” technique. In general, the success of this technique depends upon the choice of an appropriate etch process. It should be noted that once the gap is re-opened by the etch process, the oxide fill
17
at the bottom of the trench is also exposed to the etch. If an isotropic chemical etch is used, the etch step may remove nearly as much material as was deposited in the previous deposition step, thereby limiting the throughput of the overall process. If inert-gas sputtering or RIE is used, the upper corners
8
of the structure may be eroded. In addition, chemical etches tend to lack selectivity, especially when they are partially isotropic; the underlying structure is thus often damaged, particularly at the corners. A wet etch process also presents tool usage and throughput problems; the wafer being processed must be removed from the deposition tool (that is, removed from vacuum) for each deposition/etch cycle. It is highly desirable for the deposition and etch processes to be integrated, either in the same chamber or in a cluster tool, to minimize the required movement of wafers.
There remains a need for a method for filling high-AR features in which repeated deposition and etching processes may be performed without removing the wafer from vacuum.
SUMMARY OF THE INVENTION
The present invention addresses the above-described need by providing a method for filling a high-aspect-ratio feature, in which compatible deposition and etching steps are performed in a sequence. The feature is formed as an opening in a substrate having a surface; the aspect ratio is the ratio of the unfilled depth of the feature to the width of the opening.
In accordance with the present invention, a fill material is deposited at the bottom of the feature and on the surface of the substrate, typically by a high-density plasma (HDP) process. Deposition on the surface adjacent the feature causes formation of an overhang structure partially blocking the opening. The fill material is then reacted with a reactant to form a solid reaction product having a greater specific volume than the fill material. As a result, the overhang structure is converted into a reaction product structure blocking the opening. The reaction product (including the reaction product structure) is then desorbed (that is, decomposed and removed), thereby exposing unreacted fill material at the bottom of the feature. The depositing step is then repeated to fill the feature.
The above-described depositing and reacting steps may be further repeated, with a final depositing step to fill the feature. Each sequence of depositing, reacting and desorbing reduces the aspect ratio of the feature; the final depositing step is performed when the aspect ratio is reduced to less than 3:1.
The method of the present invention may be practiced with a variety of fill materials, including undoped silicon oxides (USG) and doped silicon oxides such as phosphosilicate glass (PSG), borosilicate glass (BSG) and borophosphosilicate glass (BPSG). The reactant is a mixture of ammonia and hydrogen fluroide, typically in a mixture of approximately one part NH
3
to two parts HF.
It should be noted that the solid reaction product structure prevents the reactant from reaching the fill material at the bottom of the feature, so that unwanted etching of that fill material is minimized. Accordingly, the amount of fill material etched away from the substrate surface is greater than the amount etched away from the fill at the bottom of the feature.
The reaction product is decomposed by heating the substrate, typically to a temperature greater than 100° C. The decomposed reaction product may then be easily be removed.
During the reacting step, the solid reaction product increases in thickness until the reactant is prevented from diffusing to the underlying fill material. An unreacted layer of fill material may thus remain on the surface of the substrate after the desorbing step. An edge of this unreacted layer nearest the opening is separated from the opening, so that after a depositing/reacting/desorbing sequence the structure effectively has an opening wider than the original opening; the effective aspect ratio of the structure is thereby reduced.
Although a certain amount of unreacted fill material remains at the bottom of the feature at the end of the depositing/reacting/desorbing sequence, fill material deposited on the sidewalls of the feature is generally converted into the reaction product and subsequently removed. Accordingly, buildup of the fill material on the sidewalls is avoided.
An important advantage of this invention is that all the steps in the above-described method are performed

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Directional CVD process with optimized etchback does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Directional CVD process with optimized etchback, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Directional CVD process with optimized etchback will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2822534

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.