Design stage mitigation of interconnect variability

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

07448014

ABSTRACT:
The present invention provides a method, system and program product for mitigating effects of interconnect variability during a design stage of a chip. Under the technique of the present invention, a global and detailed routing of interconnects of the chip are determined. Thereafter, a dummy fill estimation and a grid based metal density estimation are performed. Then, based on a CMP model, a variable map of metal thicknesses is obtained. Based on the variable map, wiring nets of the chip that are sensitive to metal variability (e.g., that fail to meet timing closure due to metal thickness loss/gain in the CMP process) are identified. These wiring nets are then re-routed for optimization of the chip.

REFERENCES:
patent: 5341310 (1994-08-01), Gould et al.
patent: 6230304 (2001-05-01), Groeneveld et al.
patent: 6567967 (2003-05-01), Greidinger et al.
patent: 6714903 (2004-03-01), Chu et al.
patent: 7080342 (2006-07-01), Teig et al.
patent: 2005/0037522 (2005-02-01), Smith et al.
patent: 2005/0051809 (2005-03-01), Snith et al.
patent: 2005/0132306 (2005-06-01), Smith et al.
patent: 1532670 (2005-05-01), None
patent: WO 03/104921 (2003-12-01), None
patent: WO 03104921 (2003-12-01), None
He et al., “Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation”, ISPD '05, Apr. 3-6, 2005, San Francisco California, pp. 78-85.
Ellis et al., “Compression Algorithms for “Dummy Fill” VLSI Layout Data”, Proceedings SPIE Conference on Design and Process Integration for Microelectronic Manufacturing, Feb. 2003, pp. 233-245.
Chen et al., “Hierarchical Dummy Fill for Process Uniformity”, Proceedings Aisa and South Pacific Design Automation Conference, Jan. 2001, pp. 139-144.
Chen et al., “Performance-Impact Limited Area Fill Synthesis”, Proceedings ACM/IEEE Design Automation Conference, Jun. 2003, pp. 22-27.
Tian et al., “Dummy-Feature Placement for Chemical-Mechanical Polishing Uniformity in a Shallow-Trench Isolation Process”, Proceedings International Symposium on Physical Design, 2001, pp. 118-123.
Chen et al., “Practical Iterated Fill Synthesis for CMP Uniformity”, Proceedings ACM/IEEE Design Automation Conference, Jun. 2000, pp. 671-674.
He et al., “Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization”, International Society for Optical Engineering (SPIE) Symposium on Microlithography, Mar. 2005.
Tian et al., “Model-Based Dummy Feature Placement for Oxide Chemical-Mechanical Polishing Manufacturability”, Proceedings Design Automation Conference, 2000, pp. 667-670.
Nagaraj NS et al., “BEOL Variability and Impact on RC Extraction”, DAC 2005, Jun. 13-17, 2005, Anaheim, California, pp. 758-759.
Chen et al., “Area Fill Generation with Inherent Data Volume Reduction”, Proceedings of the Conference on Design, Automation and Test in Europe, vol. 1, 2003.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Design stage mitigation of interconnect variability does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Design stage mitigation of interconnect variability, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Design stage mitigation of interconnect variability will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4030962

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.