Delamination improvement between Cu and dielectrics for...

Semiconductor device manufacturing: process – Coating with electrically or thermally conductive material – To form ohmic contact to semiconductive material

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S637000, C438S638000, C438S660000, C438S666000, C438S668000, C438S672000

Reexamination Certificate

active

06248665

ABSTRACT:

BACKGROUND OF THE INVENTION
(1) Field of the Invention
The invention relates to the fabrication of integrated circuit devices, and more particularly, to a method to improve adhesion between copper and the overlying dielectric in the Damascene process.
(2) Description of the Prior Art
The present invention relates to the creation of copper conductive lines and vias that provide the interconnection of integrated circuits in semiconductor devices and/or the interconnections in a multilayer substrate on which semiconductor device(s) are mounted. The present invention specifically relates to the fabrication of conductive lines and vias by a process known as damascene.
The damascene process is used for a number of applications. The most commonly applied process is first metal or local interconnects. Some early damascene structures have been achieved using Reactive Ion Etching (RIE) but Chemical Mechanical Planarization (CMP) is used exclusively today. Metal interconnects using damascene of aluminum are also explored.
In fabricating very and ultra-large-scale-integration (VLSI and ULSI) circuits with the dual damascene process, an insulating or dielectric material, such as silicon oxide, of a semiconductor device is patterned with several thousand openings for the conductive lines and vias. These openings are filled at the same time with metal, such as aluminum or copper, and serve to interconnect the active and/or passive elements of the integrated circuit. The dual damascene process also is used for forming the multilevel conductive lines of metal, such as copper, in the insulating layers, such as polyimide, of multi-layer substrates on which semiconductor devices are mounted.
Damascene therefore is an interconnection fabrication process in which grooves are formed in an insulating layer and filled with metal to form the conductive lines. Dual damascene is a multi-level interconnection process in which, in-addition to forming the grooves of single damascene, conductive via openings are also formed. In the standard dual damascene process, the insulating layer is coated with a photoresist which is exposed through a first mask with an image pattern of the via openings, the pattern is anisotropically etched in the upper half of the insulating layer. The photoresist now is exposed through a second mask with an image pattern of the conductive line openings, after being aligned with the first mask pattern to encompass the via openings. In anisotropically etching the openings for the conductive lines in the upper half of the insulating material, the via openings already present in the upper half are simultaneously etched and replicated in the lower half of the insulating material. After the etching is complete,, both the vias and line openings are filled with metal. Dual damascene is an improvement over single damascene because it permits the filling of both the conductive grooves and vias with metal at the same time, thereby eliminating process steps. Although this standard damascene process offers advantages over other processes for forming interconnections, it has a number or disadvantages. It requires two masking steps to form the pattern, first for the vias and subsequently for the conductive lines. Furthermore, the edges of the via openings in the lower half of the insulating layer, after the second etching, are poorly defined because of the two etchings. In addition, since alignment of the two masks is critical in order for the pattern for the conductive lines to be over the pattern of the vias, a relatively large tolerance is provided and the vias do not extend the full width of the conductive line.
As dimensions of IC devices within advanced IC's have continued to decrease, so also have the dimensions of conductors and interconnection elements, which connect and interconnect those integrated circuit devices. First level interconnect wires have been traditionally formed using aluminum or aluminum alloys. First level metal interconnect vias have typically been formed using tungsten. In the micron and sub-micron device feature environment, it is increasingly important for conductor and interconnection elements within IC's to have a high level of conductivity while at the same time showing limited susceptibility to degradative phenomenon such as electromigration. In order to simultaneously provide the desired high level of electrical conductivity and low electromigration susceptibility of conductor and interconnection elements within advanced IC's, the use of copper is gaining wider acceptance in these applications. The use of copper and copper metal alloys in these applications is becoming more sophisticated while the effects of various processing conditions and processing applications is becoming better understood.
The application of copper in creating interconnecting lines and vias suffers from a number of disadvantages, including formation of undesirable inter-metallic alloys and/or recombination centers in other parts of the integrated circuit. and they often have low diffusion rates. Copper has the additional disadvantage of being readily oxidized at relatively low temperatures. Copper does however offer the advantage of low cost and ease of processing so that the prior and current art has tended to concentrate on finding ways to overcome these limitations. One of the most difficult problems encountered in processing copper is its susceptibility to oxidation. This severely restricts the use of conventional photoresist processing when patterning copper because the photoresist needs to be removed at the end of the process by heating it in a highly oxidized environment, such as an oxygen plasma, thereby converting it to an easily removed ash. Several solutions to the above problem associated with copper processing have been proposed in the prior art. Hoshino (U.S. Pat. No. 4,910,169, dated March 1990) teaches the use of low temperature deposition techniques such as RF sputtering, for coating copper layers with materials such as silicon oxide, silicon nitride, and phosphosilicate glass.
Copper, when used as an interconnect material, has a relatively large diffusion coefficient into silicon dioxide and silicon. Copper from an interconnect may therefore diffuse into the silicon dioxide layer causing the dielectric to be conductive and also decreasing the dielectric strength of the silicon dioxide layer. For this reason, copper interconnects require to be encapsulated by at least one diffusion barrier to prevent the indicated diffusion of the copper into the dielectric layer. Silicon nitride can be used for this diffusion layer. Because silicon nitride has a high dielectric constant compared with silicon dioxide, this diffusion layer increases the capacitance between the interconnect and the substrate thereby having a negative effect on device performance.
In the damascene process, good adhesion between the copper interconnects and the surrounding dielectric is required to avoid copper delamination. However, copper CMP is a destructive process to both the copper and the dielectric interface. Successive deposition of dielectric layers results in the formation of unstable copper surface and the creation of CuO residues resulting in the occurrence of surface bubbles and copper to dielectric delamination. The invention addresses these problems by providing a method of treating the copper surface and to remove the CuO from the surface of the copper to dielectric interface.
FIG. 1
gives an overview of the Prior Art Damascene process, as follows:
FIG. 1
a
shows the metal plug formed after the surface planarization.
FIG. 1
b
shows the deposition of the Intra Level Dielectric.
FIG. 1
c
shows the formation of the trenches for metal lines.
FIG. 1
d
shows the deposition of metal to fill the trenches.
FIG. 1
e
shows the removal of the excess metal on the surface.
The elements shown in
FIGS. 1
a
though
1
e
are the following:
10
(
FIG. 1
a
) is a damascene plug.
14
(
FIG. 1
a
) is a semiconductor layer in the surface of which a damascene plug is created.
12
(
FIG. 1
a
) is

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Delamination improvement between Cu and dielectrics for... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Delamination improvement between Cu and dielectrics for..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Delamination improvement between Cu and dielectrics for... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2465871

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.