Data path and placement optimization in an integrated...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

07624364

ABSTRACT:
A method is provided that includes: determining a minimum clock cycle that can be used to propagate a signal about the critical cycle in a circuit design; wherein the critical cycle is a cycle in the design that has a highest proportionality of delay to number of registers; determining for a circuit element in the circuit design, sequential slack associated with the circuit element; wherein the sequential slack represents a minimum delay from among respective maximum delays that can be added to respective structural cycles of which the circuit element is a constituent, based upon the determined limit upon clock cycle duration; using the sequential slack to ascertain sequential optimization based design flexibility throughout multiple stages of a design flow.

REFERENCES:
patent: 6763506 (2004-07-01), Betz et al.
patent: 7137090 (2006-11-01), Manaker et al.
patent: 7222318 (2007-05-01), Srinivasan
C. Leiserson and J. Saxe, “Optimizing synchronous systems,” Journal of VLSI and Computer Systems, vol. 1, No. 1, pp. 41-67, Jan. 1983.
C. Leiserson and J. Saxe, “Retiming synchronous circuitry,” Algorithmica, vol. 6, pp. 5-35, 1991.
J. P Fishburn, “Clock skew optimization,” IEEE Transactions on Computers, vol. 39, No. 7, pp. 945-951, Jul. 1990.
I. S. Kourtev and E. G. Friedman, Timing Optimization through Clock Skew Scheduling. Boston, Dordrecht, London: Kluwer Academic Publisher, 2000. (Table of Contents Only).
C. Albrecht, B. Korte, J. Schietke, and J. Vygen, “Cycle time and slack optimization for VLSI-chips,” in Digest of Technical Papers of the IEEE International Conference on Computer-Aided Design, pp. 232-238, Nov. 1999.
S. Malik, E. M. Sentovich, R. K. Brayton, and A. Sangiovanni-Vincentelli, “Retiming and resynthesis: Optimizing sequential networks with combinational techniques,” IEEE Transactions on Computer-Aided Design, vol. 10, No. 1, pp. 74-84, Jan. 1991.
K. Ravindran, A. Kuehlmann, and E. Sentovich, “Multi-domain clock skew scheduling,” in Digest of Technical Papers of the IEEE/ACM International Conference on Computer-Aided Design, (San Jose, California), pp. 801-808, Nov. 2003.
J. Cochet-Terrasson, G. Cohen, S. Gaubert, M. McGettrick, and J.-P. Quadrat, “Numerical computation of spectral elements in max-plus algebra,” in Proceedings of the IFAC Conference on System Structure and Control, Jul. 1998.
A. Dasdan, S. S. Irani, and R. K. Gupta, “An experimental study of minimum mean cycle algorithms,” Tech. Rep. UCI-ICS 98-32, University of Illinois at Urbana-Champaign, 1998.
J. Cong and S. K. Lim, “Physical planning with retiming,” in Digest of Technical Papers of the IEEE/ACM International Conference on Computer-Aided Design, (San Jose, CA), pp. 2-7, Nov. 2000.
C. Albrecht, B. Korte, J. Schietke, and J. Vygen, “Maximum mean weight cycle in a digraph and minimizing cycle time of a logic chip,” in Discrete Applied Mathematics, vol. 123, pp. 103-127, Nov. 2002.
R. Broderson, M. Horowitz, D. Markovic, B. Nikolic, and V. Stojanovic, “Methods for true power minimization,” in Digest of Technical Papers of the IEEE International Conference on Computer-Aided Design, (San Jose, California), pp. 35-42, Nov. 2002.
J. M. Kleinhans, G. Sigl, and F M. Johannes, “GORDIAN: A global optimization / rectangle dissection method for cell placement,” in Digest of Technical Papers of the IEEE International Conference on Computer-Aided Design, (Santa Clara, California), pp. 506-509, Nov. 1988.
A. Hurst, P. Chong, A. Kuehlmann, “Physical Placement Driven by Sequential Timing Analysis,” ICCAD, 2004, pp. 379-386, Nov. 2004.
R. S. Tsay, E. S. Kuh, and C.-P. Hsu, “PROUD: A sea-of-gates placement algorithm,” IEEE Design & Test Of Computers, vol. 5, pp. 44-56, Dec. 1988.
A. Srinivasan, K. Chaudhary, and E. S. Kuh, “RITUAL: A performance-driven placement algorithm,” IEEE Transactions on Circuits and Systems, vol. 39, No. 11, pp. 825-839, Nov. 1992.
A. E. Caldwell, A. B. Kahng, and I. L. Markov, “Can recursive bisection alone produce routable placements?,” in ACM/IEEE Design Automation Conference, pp. 477-482, 2000.
O. Coudert, J. Cong, S. Malik, and M. S. Sarrafzadeh, “Incremental cad,” in Digest of Technical Papers of the IEEE/ACM International Conference on Computer-Aided Design, (San Jose, California), pp. 236-243, Nov. 2000.
P. Restle, T. McNamara, D. Webber, P. Camporese, K. Eng, K. Jenkins, D. Allen, M. Rohn, M. Quaranta, D. Boerstler, C. Alpert, C. Carter, R. Bailey, J. Petrovick, B. Krauter, and B. McCredie, “A clock distribution network for microprocessors,” Journal of Solid-State Circuits, vol. 36, No. 5, pp. 792-799, 2001.
R. S. Tsay, “An exact zero-skew clock routing algorithm,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 12, No. 2, pp. 242-249, Feb. 1993.
C. W. Tsao and C.-K. Koh, “UST/DME: a clock tree router for general skew constraints,” in Digest of Technical Papers of the IEEE/ACM International Conference on Computer-Aided Design, (San Jose, California), pp. 400-405, Nov. 2000.
J.G. Xi and W. W.-M. Dai, “Useful-skew clock routing with gate sizing for low power design,” in Proceedings of the 33rd ACM/IEEE Design Automation Conference, (Las Vegas, NV), pp. 383-388, Jun. 1996.
S. Held, B. Korte, J. Maβberg, M. Ringe, and J. Vygen, “Clock scheduling and clocktree construction for high-performance ASICs,” in, Digest of Technical Papers of the IEEE/ACM International Conference on Computer-Aided Design, (San Jose, California), pp. 232-239, Nov. 2003.
K. M. Carrig, “Chip clocking effect on performance for IBM's SA-27E ASIC technology,” IBM Micronews, vol. 6, No. 3, pp. 12-16, 2000.
M. W. Moskewicz, C. F. Madigan, Y. Zhao, L. Zhang, and S. Malik, “Chaff: Engineering an efficient SAT solver,” in Proceedings of the 38th ACM/IEEE Design Automation Conference, (Las Vegas, Nevada), pp. 530-535, Jun. 2001.
L.-F. Chao and E.H.-M. Sha, “Retiming and clock skew for synchronous systems,” in IEEE International Symposium on Circuits and Systems (ISCAS'94), pp. 283-286, 1994.
N. Shenoy and R. Rudell, “Efficient implementation of retiming,” in Digest of Technical Papers of the IEEE/ACM International Conference on Computer-Aided Design, (San Jose, California), pp. 226-233, Nov. 1994.
U.S. Appl. No. 11/373,670, filed Mar. 10, 2006, entitled Optimization of Combinational Logic Synthesis Through Clock Latency Scheduling, invented by C. Albrecht, A. Kuehlmann, D. Seibert and S. Richter.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Data path and placement optimization in an integrated... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Data path and placement optimization in an integrated..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Data path and placement optimization in an integrated... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4061303

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.