Concurrent execution of instructions in a processing system

Electrical computers and digital processing systems: processing – Dynamic instruction dependency checking – monitoring or... – Scoreboarding – reservation station – or aliasing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

07991980

ABSTRACT:
A scalable processing system includes a memory device having a plurality of executable program instructions, wherein each of the executable program instructions includes a timetag data field indicative of the nominal sequential order of the associated executable program instructions. The system also includes a plurality of processing elements, which are configured and arranged to receive executable program instructions from the memory device, wherein each of the processing elements executes executable instructions having the highest priority as indicated by the state of the timetag data field.

REFERENCES:
patent: 4847755 (1989-07-01), Morrison et al.
patent: 6578138 (2003-06-01), Kyker et al.
patent: 6643767 (2003-11-01), Sato
patent: 6976150 (2005-12-01), Uht et al.
Gabbay, Freddy, Speculative Execution based on Value Prediction, research report, Technion—Israel Institute of Technology, Electrical Engineering Department, Nov. 1996.
Patterson et al., A Case for Intelligent RAM, IEEE Micro, pp. 34-44, 1997.
Augustus K Uht, “Overview of the Levo High-ILP Computer”, University of Rhode Island, Dept. of Electrical and Computer Engineering, Technical Report No. 0797-0001, pp. 1-19, 1997.
Agerwala et al., “Data Flow Systems-Special Issue,” IEEE Computer, vol. 15, No. 2, pp. 10-13, 1982.
Aiken et al., “Perfect Pipelining: A New Loop Parallelization Technique,” in Proceedings of the 1988 European Symposium on Programming, 1988, 15 pages total.
Austin et al., “Dynamic Dependency Analysis of Ordinary Programs,” in Proceedings of the 19th Annual International Symposium on Computer Architecture, Gold Coast, Australia, pp. 342-351, IEEE and ACM, May 1992.
Banerjee et al., “Fast Execution of Loops With IF Statements,” IEEE Transactions on Computers, vol. C-22, pp. 1030-1033, Nov. 1984.
Beck et al., “The cydra 5 minisupercomputer: Architecture and implementation,” Journal of Supercomputing,vol. 7, pp. 143-180, 1993.
Brekelbaum et al., “Hiefarchical Scheduling Windows,” Proceedings of the 35th Annual International Symposium on Microarchitecture. Istanbul, Turkey: IEEE, ACM, Nov. 2002.
Burger et al, “Billion-Transistor Architectures,” IEEE Computer, vol. 30, No. 9, Sep. 1997.
Burger et al., “THe SimpleScalar Tool Set, Version 2, ” URL:http://www.simplescalar.com/docs/usersguide v2.pdf. created 1997, accessed: Jun. 14, 2002.
Calder et al., “Value profiling,” in Proceedings of the 30th IEEE Symposium on Microarchitecture, Dec. 1997.
Chen, “Supporting Highly Speculative Execution via Adaptive Branch Trees,” in Proceedings of the 4th Annual International Symposium on High Peiformance Computer Architecture: IEEE, Jan. 1998, pp. 185-194.
Cleary et al., “Scaling the reorder buffer to 10,000 instructions,” IEEE TCCA News, pp. 16-20, Jun. 2000.
Cleary et al., “The Architecture or an Optimistic CPU: The Warp Engine,” in Proceedings of the HICSS95, pp. 163-172, University of Hawaii, Jan. 1995.
Colwell et al., “A VLIW Architecture for a Trace Scheduling Compiler,” IEEE Transactions on Computers, vol. C-37, pp. 967-979, Aug. 1988.
Colwell et al., “A VLIW Architecture for a Trace Scheduling Compiler, ” in Proceedings of the Second International Conference Architectural Support for Programming Languages and Operating Systems (ASP LOS II): ACM and IEEE, Sep. 1987, pp. 180-192.
Cragon, Branch Strategy Taxonomy and Performance Models, Los Alamito, California: IEEE Computer Society Press, 1992, 9 pages total.
Cyton, “Doacross: Beyond Vectorization for Multiprocessors (Extended Abstract),” In Proceedings of the 1986 International Conference on Parallel Processing, pp. 836-844, Pennsylvania State University and the IEEE Computer Society, Aug. 1988.
Dutta et al., “Control Flow Prediction with Tree-Like Subgraphs for Superscalar Processor,”in Proceedings of the 28th International Symposium on Micmarchitecture(MICRO-28), pp. 258-263, IEEE and ACM, Nov. Dec. 1995.
Ebcioglu et al., “Daisy: Dynamic Compilation for 100% Architectural Compatibility,” IBM Research Report RC 20538, IBM Research Division, Aug. 5, 1996, 82 pages total.
Ebcioglu, “A Compilation Technique for Software Pipelining of Loops with Conditional Jumps,” in Proceedings of the Twentieth Annual Workshop on Microprogramming (MICRO20), pp. 69-79, Association of Computing Machinery, Dec. 1987.
Ellis, Bulldog: A Compiler for VLIW Architectures. PhD thesis, Yale University, New Haven, CT, 292 total pages, 1985.
Foster et al., “Percolation of Code to Enhance Parallel Dispatching and Execution,” IEEE Transactions on Computers, vol. C-21, pp. 1411-1415, Dec. 1972.
Franklin et al., “Register Traffic Analysis for Streamlining Inter-Operation Communication in Fine-Grain Parallel Processors,” in Proceedings of the Twenty-Fifth International Symposium on Microarchitecture(MICRO-25): IEEE and ACM, Dec. 1992, pp. 236-245.
Franklin et al., “The Expandable Split Window Paradigm for Exploiting Fine-Grain Parallelism,” In Proceedings of the 19th International Syposium on Computer Architecture , pp. 58-67, ACM, May 1992.
Ginosar et al., “Adaptive Synchronization,” in Proceedings of the 1998 International Conference on Computer Design, 2 pages total, 1998.
Glass, “Crusoe: Transmeta comes out of the closet,” in http://www.linuxplanet.com/linuxplanet/reports/1441/1/, 6 pages total, 2000.
Gonzalez et al., “Limits on Instruction-Level Parallelism with Data Speculation,” Department Architectura de Computadores, Universlitat Polytechnica Catalan, Barcelona, Spain, Technical Report UPC-DAC-1997-34, 14 pages total, 1997.
Gopal et al., “Speculative Versioning Cache,”University of Wisconsin, Madison, Technical Report TR-1334, 11 pages total, Jul. 1997.
Gostelow, “The u-interpreter,” IEEE Computer, vol. 15, pp. 42-49, Feb. 1982.
Gurd et al., “The manchester prototype dataflow computer,” Communications of the ACM, vol. 28, pp. 34-52, Jan. 1985.
Henning, “Spec Cpu2000: Measuring CPU Performance in the New Millenium,” IEEE Computer, vol. 33, No. 7, pp. 28-35, Jul. 2000.
Henry et al., “Circuits for Wide-Window Superscalar Processors,” in Proceedings of the 27th Annual International Symposium on Computer Architecture. Vancouver, BC, Canada: IEEE and ACM, Jun. 10-14, 2000, pp. 236-247.
Henry et al., “The Ultrascalar Processor: An Asymptotically Scalable Superscalar Microarchitecture,” in HIPC '98, Dec. 1998, URL: http://ee.yake.edu/papersIHPC98-abstract.ps.gz, 18 pages total.
Huck et al., “Introducing the 1a-64 architecture,”IEEE Micro, pp. 12-23, Sep. 2000.
Jefferson, “Virtual Time,” Transactions on Programming Languages and Systems, vol. 7, No. 3, pp. 404-425, Jul. 1985.
Jouppi et al., “Available instruction-level parallelism for superscalar and superpipelined machines,” in Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 272-282, Apr. 1999.
Karkhanis et al.,;“A Day in the Life of a Data Cache miss,” in Proceedings of the 2nd Annual Workshop on Memory Pelformance issues (WMPI), at the 29th International Symposium on Computer Architecture(ISCA 2000). Anchorage, Alaska, May 2002.
Khalafi et al., “Realizing High IPC Through a Scalable Memory-Latency Tolerant Multipath Microarchitecture,” Department of Electrical and Computer Engineering, University of Rhode Island, Kingston, RI 02881-0805, Technical Report 032002-0101, Apr. 2, 2002, URL:http://www.ele.url.edu/-uht/papers/Levo4TR032002-01 OI.I)df., 11 pages total.
Kim et al., “An Instruction Set Architecture and Microarchitecture for Instruction Level Distributed Processing,” In Proceedings of the 29th Annual International Symposium on Computer Architecture

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Concurrent execution of instructions in a processing system does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Concurrent execution of instructions in a processing system, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Concurrent execution of instructions in a processing system will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2779863

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.