Clock generator and method for generating a clock signal

Miscellaneous active electrical nonlinear devices – circuits – and – Signal converting – shaping – or generating – Clock or pulse waveform generating

Patent

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

327299, 331 74, H03K 1302

Patent

active

057740062

ABSTRACT:
In a clock generator (100), an oscillator (30) supplies an oscillator signal (38) to a first trigger (10) and to a second trigger (20). The triggers change the oscillator signal (38) to a first and a second trigger signal (18, 28). The second trigger (20) has a larger hysteresis range than the first trigger (10), so that the first trigger signal (18) starts toggling before the second trigger signal (28) starts toggling. A detector (40) determines that the second trigger signal (28) toggles at least three times, that means that the oscillator signal (38) goes over the larger hysteresis range in two directions. The detector (40) provides a result to a control circuit (50) which derives a clock signal (98) from the first trigger signal (18).

REFERENCES:
patent: 5469116 (1995-11-01), Slemmer
patent: 5491441 (1996-02-01), Goetschel et al.
patent: 5568078 (1996-10-01), Lee

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Clock generator and method for generating a clock signal does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Clock generator and method for generating a clock signal, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Clock generator and method for generating a clock signal will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-1863958

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.