Chip design and fabrication method optimized for profit

Computer-aided design and analysis of circuits and semiconductor – Integrated circuit design processing – Optimization

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S054000, C716S108000, C716S113000, C716S134000

Reexamination Certificate

active

08086988

ABSTRACT:
Disclosed is a computer-implemented method for designing a chip to optimize yielding parts in different bins as a function of multiple diverse metrics and further to maximize the profit potential of the resulting chip bins. The method separately calculates joint probability distributions (JPD), each JPD being a function of a different metric (e.g., performance, power consumption, etc.). Based on the JPDs, corresponding yield curves are generated. A profit function then reduces the values of all of these metrics (e.g., performance values, power consumption values, etc.) to a common profit denominator (e.g., to monetary values indicating profit that may be associated with a given metric value). The profit function and, more particularly, the monetary values can be used to combine the various yield curves into a combined profit-based yield curve from which a profit model can be generated. Based on this profit model, changes to the chip design can be made in order to optimize yield as a function of all of the diverse metrics (e.g., performance, power consumption, etc.) and further to maximize the profit potential of the resulting chips.

REFERENCES:
patent: 4472871 (1984-09-01), Green et al.
patent: 5880967 (1999-03-01), Jyu et al.
patent: 5941143 (1999-08-01), Jawahir et al.
patent: 6133582 (2000-10-01), Osann, Jr. et al.
patent: 6587991 (2003-07-01), Mbouombouo et al.
patent: 7058638 (2006-06-01), Singh
patent: 7170891 (2007-01-01), Messenger
patent: 7424694 (2008-09-01), Ikeda
patent: 7941768 (2011-05-01), Wei
patent: 2002/0146992 (2002-10-01), Khosrowbeygi
patent: 2008/0028256 (2008-01-01), Lichtensteiger et al.
patent: 2008/0059143 (2008-03-01), Chiu et al.
patent: 2008/0313590 (2008-12-01), Foreman et al.
patent: 2009/0182522 (2009-07-01), Visweswariah et al.
patent: 2009/0265674 (2009-10-01), Buck et al.
Visweswariah et al., “First-Order Incremental Block-Based Statistical Timing Analysis”, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions, vol. 25, Issue 10, 2006.
http://www.edn.com/blog/1480000148/post/1240018324.html.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Chip design and fabrication method optimized for profit does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Chip design and fabrication method optimized for profit, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Chip design and fabrication method optimized for profit will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4311300

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.