Apparatus for high-resolution in-situ plasma etching of...

Adhesive bonding and miscellaneous chemical manufacture – Differential fluid etching apparatus – With microwave gas energizing means

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S706000, C438S689000

Reexamination Certificate

active

06328848

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Technical Field
The present invention relates, generally, to etching methods and apparatus for deep sub-micron semiconductor fabrication and, more particularly, to methods and apparatus for plasma etching both metal and inorganic layers in a single chamber.
2. Background Art and Technical Problems
Recent advances in semiconductor processing technology have led to the development of integrated circuit devices employing sub-micron and even deep sub-half-micron feature sizes. Deep sub-micron technologies, involving critical dimensions or feature sizes of less than 0.35 microns, require photo lithographic processes which employ progressively smaller incident wavelengths during the exposure process.
In addition, deep sub-micron feature sizes have spawned the development of sophisticated new photoresist recipes for use with these smaller wavelengths.
Deep sub-micron line widths also tend to drive a reduction in the thickness of the photoresist layers in order to maintain acceptable aspect ratios for the photoresist patterns. However, the use of thinner photoresist pattern layers has resulted in undesired erosion of the patterned microelectronic structure during the metal etch process.
Presently known attempts to preserve the integrity of the microelectronic structures in the presence of thin photoresist layers typically involve the use of metallic barrier layers, such as titanium nitride (TIN), in the metal stack. In addition, organic anti-reflection coating (ARC) layers have been employed on top of metallic barrier layers to help preserve the structural integrity of the photoresist pattern structures. Prior art practice has also utilized an oxide layer prior to the organic ARC layer to function as a hard mask. However, many of the different layers utilized in the prior art require different etching chemistries and etching tools thereby increasing processing time as well as equipment needed for fabricating semiconductor devices.
Although the use of these organic or metallic ARC layers has improved the integrity of the photoresist pattern structures, as well as the integrity of the resulting microelectronic structures, erosion of the patterned microelectronic structure may still occur in the case where all of the photoresist layer erodes away during metal etching, particularly when an organic ARC layer is used in the metal stack without an oxide hard mask.
Alternatively, as contemplated by part of the present invention, an inorganic ARC layer may be used in the metal stack which provides a single optimized film that functions both as an antireflective coating, for preserving the structural integrity of the photoresist pattern structures, and as a hard mask. Unlike the organic ARC layers and metal layers, inorganic ARC layers are typically etched with fluorine based chemistries. Since the process for etching the photoresist and the ARC layer, and the process for etching the metal layer, typically employ different etching tools which are specifically designed to optimize their respective etching processes and etching solution chemistries, the number of process steps and cycle time are increased.
Accordingly, there is a need for composite structures and manufacturing processes that accommodate smaller exposure wavelengths and thinner photoresist layers without jeopardizing the integrity of the microelectronic structures. In addition, there is also a need for composite structures and manufacturing processes which function to decrease the cost and complexity of the semiconductor fabrication process while increasing its efficiency.
SUMMARY OF THE INVENTION
In accordance with a preferred embodiment of the present invention, a metal layer upon which photoresist patterns are developed comprises a sandwiched metal stack having a layer of conducting metal (aluminum, titanium, and the like) bounded by an upper thin-film ARC layer and a bottom thin-film barrier layer, wherein at least the top layer is composed of an inorganic dielectric substance. The use of an inorganic dielectric top ARC layer facilitates use of thinner photoresist layers while preserving the integrity of the photoresist pattern for deep sub-micron feature sizes. In addition, the inorganic ARC layer functions as a hard mask during the metal etch process, further enhancing the integrity of the metallic microelectronic structures even as the photoresist is eroded during the metal etch process.
In accordance with a further aspect of the present invention, the inorganic dielectric layer may be applied utilizing a chemical vapor deposition (CVD) process. In accordance with a particular preferred embodiment, the inorganic dielectric ARC layer may be applied in a plasma enhanced CVD (PECVD) chamber.
In accordance with a further aspect of the present invention, the use of PECVD deposition techniques permits the application of the dielectric layer in a conformal manner, i.e., a uniform thickness of the dielectric may be applied to surfaces which are not perfectly planar, for example surfaces which contain a layer of microelectronic structures. This is a distinct advantage over prior art systems, wherein organic ARC layers are typically applied using a spin coat technique.
In accordance with a further aspect of the present invention, the etch selectivity of the metal etch medium is greatly enhanced in that the inorganic ARC is less susceptible to erosion during the metal etch process than prior art organic or metallic ARC layers.
In accordance with yet a further aspect of the present invention, the inorganic dielectric layer may be incorporated into the interconnect structure, without having to be removed in a subsequent processing step.
In accordance with a still further aspect of the present invention, the process of etching the inorganic dielectric layer down to the metal layer may be performed in the same tool within which the metal etching process is performed, thereby eliminating the need to change tooling between the dielectric etching step and the metal etching step.
In accordance with yet a further aspect of the present invention, the inorganic dielectric ARC layer may be etched using a fluorine based etching chemistry, immediately followed by the in-situ transition to a chlorine based etching process for the metal etching step.
Various other aspects and advantages of the present invention are set forth with particularity in the detailed description of preferred exemplary embodiments.


REFERENCES:
patent: 5336356 (1994-08-01), Ban et al.
patent: 5385624 (1995-01-01), Amemiya et al.
patent: 5403436 (1995-04-01), Fujimura et al.
patent: 5423936 (1995-06-01), Tomita et al.
patent: 5702981 (1997-12-01), Maniar et al.
patent: 5759916 (1998-06-01), Hsu et al.
patent: 5846884 (1998-12-01), Naeem et al.
patent: 5883007 (1999-03-01), Abraham et al.
patent: 5911887 (1999-06-01), Smith et al.
patent: 6013582 (2000-01-01), Ionov et al.
patent: 6095159 (2000-08-01), Blalock et al.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Apparatus for high-resolution in-situ plasma etching of... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Apparatus for high-resolution in-situ plasma etching of..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Apparatus for high-resolution in-situ plasma etching of... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2592176

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.