Apparatus and methods for interconnect simulation in...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

11196129

ABSTRACT:
In one embodiment, a system comprises a computer. The computer is configured to generate a plurality of partial sums corresponding to a first time point of a response on an interconnect, and generate the response at the first time point as a sum of the partial sums. The plurality of partial sums are a function of at least: one or more poles and residues of the interconnect and a time step; wherein at least a first partial sum of the plurality of partial sums is also a function of the first partial sum calculated for a second time point that precedes the first time point.

REFERENCES:
patent: 5373457 (1994-12-01), George et al.
patent: 5857164 (1999-01-01), Wakita
patent: 6789237 (2004-09-01), Ismail
patent: 7013440 (2006-03-01), Croix
Chen, “Path Sensitization in Critical Path Problem,” IEEE Transactions On Computer Aided Design of Integrated Circuits and Systems, vol. 12, No. 2, Feb. 1993, pp. 196-207.
Dartu, et al., “TETA: Transistor-Level Engine for Timing Analysis,” 35thDesign Automation Conference, 1998, 4 pages.
Croix, et al., “A Fast and Accurate Technique to Optimize Characterization Tables for Logic Synthesis,” 34thDesign Automation Conference, 1997, 4 pages.
Dartu, et al., “Calculating Worst-Case Gate Delays Due to Dominant Capacitance Coupling,” 34thDesign Automation Conference, 1997, 6 pages.
Lam, et al., “Exact Minimum Cycle Times for Finite State Machines,” Proceedings of the 31stIEEE/ACM Design Automation Conference, Jun. 1994, 6 pages.
O'Brien, et al., “Modeling The Driving-Point Characteristic of Resistive Interconnect for Accurate Delay Estimation,” IEEE, 1989, pp. 512-515.
Pillage, et al., “Asymptotic Waveform Evaluation for Timing Analysis,” IEEE Transactions on Computer Aided Design, vol. 9, No. 4, Apr. 1990, pp. 352-366.
Qian, et al., “Modeling the “Effective Capacitance” For the RCE Interconnect of CMOS Gates,” IEEE Transaction On Computer Aided Design of Integrated Circuits and Systems, vol. 13, No. 12, Dec. 1994, pp. 1526-1535.
Ratzlaff, et al., “RICE: Rapid Interconnect Circuit Evaluation Using AWE”, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 13, No. 6, Jun. 1994, pp. 763-776.
Silveira, et al., “Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances Associated with 3-D Interconnect Structures,” 32ndACM/IEEE Design Automation Conference, 1995, 5 pages.
Dartu, et al., “A Gate-Delay Model for High-Speed CMOS Circuits,” 31stACM/IEEE Design Automation Conference, 1994, 5 pages.
McDonald, et al., “Computing Logic-Stage Delays Using Circuit Simulation and Symbolic Elmore Analysis,” 38thACM Design Automations Conference, 2001, 283-288.
Sun, et al., “Efficient Timing Analysis for CMOS Circuits Considering Data Dependent Delays,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 17, No. 6, Jun. 1998, pp. 546-552.
Dartu, et al., “Performance Computation for Precharacterized CMOS Gates with RC Loads,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 15, No. 5, May 1996, pp. 544-553.
Chen, et al., “A New Gate Delay Model for Simultaneous Switching and Its Applications,” 38thACM Design Automation Conference, 2001, pp. 289-294.
Arunachalam, et al., “CMOS Gate Delay Models for General RLC Loading,” IEEE International Conference on Computer Design, VLSI In Computers and Processors, 1997, 6 pages.
Yalcin, et al., “Fast and Accurate Timing Characterization Using Functional Information,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 20, No. 2, Feb. 2001, pp. 315-331.
Shih, et al., “ILLIADS: A Fast Timing and Reliability Simulator for Digital MOS Circuits,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 12, No. 9, Sep. 1993, pp. 1387-1402.
Ngoya, et al., Newton-Raphson Iteration Speed-Up Algorithm for the Solution of Nonlinear Circuit Equations in General-Purpose CAD Programs, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 16, No. 6, Jun. 1997, pp. 638-644.
Acar, et al., “TETA: Transistor-Level Waveform Evaluation for Timing Analysis,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 21, No. 5, May 2002, pp. 605-616.
Devgan, et al., “Adaptively Controlled Explicit Simulation,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 13, No. 6, Jun. 1994, pp. 746-762.
Liu, et al. “Ftd: An Exact Frequency to Time Domain Conversion for Reduced Order RLC Interconnect Models,” 35thDesign Automation Conference, 1998, 4 pages.
Bracken, et al., Interconnect Simulation with Asymptotic Waveform Evaluation (AWE), IEEE Transactions on Circuits and Systems-1: Fundamental Theory and Applications, vol. 39, No. 11, Nov. 1992, pp. 869-878.
Odabasioglu, et al., “PRIMA: Passive Reduced-Order Interconnect Macromodeling Algorithm,” IEEE, 1997, 8 pages.
Alpert, et al., “RC Delay Meterics for Performance Optimization,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 20, No. 5, May 2001, pp. 571-582.
Ratzlaff, et al., “Modeling the RC-Interconnect Effects in a Hierarchical Timing Analyzer,” IEEE Custom Integrated Circuits Conference, 1992, 4 pages.
Raghavan, et al., “A New Nonlinear Driver Model for Interconnect Analysis,” 28thACM/IEEE Design Automation Conference, 1991, pp. 561-566.
Kashyap, et al., “An “Effective” Capacitance Based Delay Metric for RC Interconnect,” IEEE, 2000, pp. 229-234.
Khang, et al., “An Analytical Delay Model for RLC Interconnects,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 16, No. 12, Dec. 1997, pp. 1507-1514.
Kam, et al., “EDA Challenges Facing Future Microprocessor Design,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 19, No. 12, Dec. 2000, pp. 1498-1506.
Hitchcock, et al., “Timing Analysis of Computer Hardware,” IBM J. Res. Develop., vol. 26, No. 1, Jan. 1982, pp. 100-105.
Darringer, et al., “EDA In IBM: Past, Present, and Future,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 19, No. 12, Dec. 2000, pp. 1476-1497.
Kobayashi, et al., “Delay Abstraction in Combinational Logic Circuits,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 16, No. 10, Oct. 1997, pp. 1205-1212.
Chatzigeorgiou, et al. “A Modeling Technique for CMOS Gates,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 18, No. 5, May 1999, pp. 557-575.
Hirata, et al., “Proposal of a Timing Model for CMOS Logic Gates Driving a CRC π Load”, ACM Conference, 1998, pp. 537-544.
Jen, et al., “A Compact and Unified MOS DC Current Model with Highly Continous Conductances for Low-Voltage IC's”, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 17, No. 2, Feb. 1998, pp. 169-172.
Hafed, et al., “Delay and Current Estimation ina CMOS Inverter with an RC Load,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 20, No. 1, Jan. 2001, pp. 80-89.
Korshak, et al., “An Effective Current Source Cell Model for VDSM Delay Calculation,” IEEE, 2001, pp. 296-300.
Keller, et al., “A Robust Cell-Level Crosstalk Delay Change Analysis,” ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Feb. 2004, 9 pages.
Rubinstein, et al., “A Robust Cell-Level Crosstalk Delay Change Analysis,” ACM/IEEE International Workshop on Timing Issues in the Specif

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Apparatus and methods for interconnect simulation in... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Apparatus and methods for interconnect simulation in..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Apparatus and methods for interconnect simulation in... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3796315

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.