Apparatus and method for thermal processing of semiconductor...

Electric heating – Heating devices – Combined with container – enclosure – or support for material...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C219S405000, C219S411000, C392S416000, C118S724000, C118S050100

Reexamination Certificate

active

06342691

ABSTRACT:

BACKGROUND
Diffusion furnaces have been widely used for thermal processing of semiconductor device materials (such as semiconductor wafers or other semiconductor substrates). The furnaces typically have a large thermal mass that provides a relatively uniform and stable temperature for processing. However, in order to achieve uniform results, it is necessary for the conditions in the furnace to reach thermal equilibrium after a batch of wafers is inserted into the furnace. Therefore, the heating time for wafers in a diffusion furnace is relatively long, typically exceeding ten minutes.
As integrated circuit dimensions have decreased, shorter thermal processing steps for some processes, such as rapid thermal anneal, are desirable to reduce the lateral diffusion of dopants and the associated broadening of feature dimensions. Thermal process duration may also be limited to reduce forward diffusion so the threshold voltage of the MOS transistors does not shift. As a result, the longer processing times inherent in conventional diffusion furnaces have become undesirable for many processes. In addition, increasingly stringent requirements for process control and repeatability have made batch processing undesirable for many applications. As an alternative to diffusion furnaces, single wafer rapid thermal processing (RTP) systems have been developed for rapidly heating and cooling wafers. Most RTP systems use high intensity lamps (usually tungsten-halogen lamps or arc lamps) to selectively heat a wafer within a cold wall, clear quartz furnace. Since the lamps have very low thermal mass, the wafer can be heated rapidly. Rapid wafer cooling is also easily achieved since the heat source may be turned off instantly without requiring a slow temperature ramp down. Lamp heating of the wafer minimizes the thermal mass effects of the process chamber and allows rapid real-time control over the wafer temperature. While single wafer, lamp-based RTP reactors provide enhanced process control, their throughput is substantially less than batch furnace systems.
While lamp-based RTP systems allow rapid heating and cooling, it is difficult to achieve repeatable, uniform wafer processing temperatures using them, particularly for larger wafers (200 mm and greater). The temperature uniformity is sensitive to the uniformity of the optical energy absorption as well as the radiative and convective heat losses of the wafer. Wafer temperature nonuniformities usually appear near wafer edges because radiative heat losses are greatest at the edges. During heating the wafer edges may, at times, be several degrees (or even tens of degrees) cooler than the center of the wafer. At high temperatures, generally greater than nine hundred degrees Celsius (900° C.), this nonuniformity may produce crystal slip lines on the wafer (particularly near the edge). To minimize the formation of slip lines, insulating rings are often placed around the perimeter of the wafer to shield the wafer from the cold chamber walls. Otherwise, wafer temperature non-uniformity may lead to non-uniform material properties such as alloy content, grain size, and dopant concentration. These non-uniform material properties may degrade the circuitry and decrease yield even at low temperatures (generally less than 900° C.). For instance, temperature uniformity is critical to the formation of titanium silicide by post deposition annealing. The proper alloy is formed only within a range of temperatures of several degrees. In fact, the uniformity of the sheet resistance of the resulting titanium silicide is regarded as a standard measure for evaluating temperature uniformity in RTP systems because it so sensitively reflects the precise temperature at which the silicide was formed.
Wafer temperature levels and uniformity must therefore be carefully monitored and controlled in lamp-based RTP systems. Optical pyrometry is typically used due to its noninvasive nature and relatively fast measurement speed which are critical in controlling the rapid heating and cooling in RTP. However, accurate temperature measurement of wafer temperature using optical pyrometry depends upon the accurate measurement of the intensity of radiation emitted from the wafer and upon the wafers radiation emitting characteristics or emissivity. Emissivity is typically wafer-dependent and depends on a range of parameters, including temperature, chamber reflectivity, the wafer material (including dopant concentration), surface roughness, and surface layers (including the type and thickness of sub-layers), and will change dynamically during processing as layers grow on the surface of the wafer. In addition, radiation from heat sources, particularly lamps, reflect off the wafer surface and interfere with optical pyrometry. This reflected radiation erroneously augments the measured intensity of radiation emitted from the wafer surface and results in inaccurate wafer temperature measurement. It is therefore preferable in the interest of reduced system complexity and cost of processing in an RTP system to have means for controlling the wafer temperature other than one requiring it to be accurately measured such as is required with the lamp-based systems. It is desirable from the standpoint of cost per wafer processed (avoidance of expensive wafer emissivity measurement apparatus) to be able to control the process by keeping the wafer temperature within the desired processing range without directly measuring the wafer temperature.
In order to overcome the disadvantages of lamp heated RTP systems, a few systems have been proposed which use a resistively heated plate. Such heated plates provide a relatively large thermal mass with a stable temperature. The inherent temperature uniformity of wafer heating by a plate (whose lateral thermal conductivity is high compared with that of the wafer) is superior to that of a wafer by itself, such as is characteristic of the common lamp-based RTP systems. Therefore, by employing such a plate or susceptor for wafer heating, where the temperature uniformity of a wafer on the block is measured and is made to be well within specifications prior to usage for device wafer processing, the wafer temperature can be made to be highly uniform during processing.
While heated plate rapid thermal processors provide a stable temperature on the heated plate that may be measured using a thermocouple, problems may be encountered with wafer temperature nonuniformities. Wafers may be heated by placing them near the heated plate rather than on the plate. In such systems, the edges of the wafer may have large heat losses which lead to nonuniformities as in lamp heated RTP systems. Even when a wafer is placed in contact with a heated plate, there may be nonuniformities. The heated plate itself may have large edge losses, because: 1) the corners and edges of the plate may radiate across a wider range of angles into the chamber; 2) vertical chimney effects may cause larger convective heat losses at the edges of the heated plate; and 3) the edges of the heated plate may be closer to cold chamber walls. These edge losses on the plate may, in turn, cause temperature nonuniformities in a wafer placed on the plate.
In addition, heat loss and temperature uniformity across the wafer surface varies with temperature and pressure. Conductive heat transfer between two objects (such as the wafer and the cold chamber wall) is proportional to the temperature difference between the objects and radiative heat transfer is proportional to the difference of the temperatures raised to the fourth power (T
1
4
−T
2
4
). Thus, the difference in heat losses across the wafer surface (resulting in wafer temperature differences) will increase at higher processing temperatures. In addition, the pressure in the chamber may affect the wafer temperature profile since heat transfer at low pressures is predominantly by radiation, while heat transfer at higher pressures involves a combination of radiation, conduction and convection.
Another important aspect of a thermal processing system is its ability to pro

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Apparatus and method for thermal processing of semiconductor... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Apparatus and method for thermal processing of semiconductor..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Apparatus and method for thermal processing of semiconductor... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2824752

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.