Apparatus and method for incorporating driver sizing into...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000, C716S030000, C716S030000, C716S030000

Reexamination Certificate

active

06915496

ABSTRACT:
An apparatus and method for incorporating driver sizing into buffer insertion such that the two optimization techniques are performed simultaneously are provided. The apparatus and method extends van Ginneken's algorithm to handle driver sizing by treating a source node as a “driver library.” With the apparatus and method, the circuit design is converted to a Steiner tree representation of the circuit design. Buffer insertion is performed on the Steiner tree using the van Ginneken algorithm to generate a first set of possible optimal solutions. For each solution in the first set, a driver of the same type as the original driver in the Steiner tree is selected from a driver library and virtually inserted into the solution. A delay penalty is retrieved for the selected driver, which is then used long with the new driver's characteristics to generate a second set of solutions based o the first set of solutions.

REFERENCES:
patent: 5798935 (1998-08-01), Doreswamy et al.
patent: 6009248 (1999-12-01), Sato et al.
patent: 6117182 (2000-09-01), Alpert et al.
patent: 6347393 (2002-02-01), Alpert et al.
patent: 6543041 (2003-04-01), Scheffer et al.
patent: 6560752 (2003-05-01), Alpert et al.
patent: 6591411 (2003-07-01), Alpert et al.
patent: 2002/0133799 (2002-09-01), Alpert et al.
J. Lillis et al., Optimal Wire Sizing and Buffer Insertion for Low Power and a Generalized Delay Model, IEEE/ACM International COnference on Computer-Aided Design, pp. 138-143, Nov. 1995.
J. Lillis et al., Optimal and Efficient Buffer Insertion and Wire Sizing, Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 259-262, May 1995.
J. Cong et al., Simultaneous Driver and Wire Sizing for Performance and Power Optimization, IEEE Transactions on Very Large Scale Integration Systems, pp. 408-425, Dec. 1994.
J. Lillis et al., Optimal Wire Sizing and Buffer Insertion for Low Power and a Generalized Delay Model, IEEE Journal of Solid-State Circuits, pp. 437-447, Mar. 1996.
C. Alpert et al., Simultaneous Driver Sizing and Buffer Insertion Using a Delay Penalty Estimation Technique, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 136-141, Jan. 2004.
J. COng et al., Simultaneous Driver and Wire Sizing for Performance and Power Optimization, IEEE/ACM International Conference on Computer-Aided Design, pp. 206-212, Nov. 1994.
Alpert et al, “Simultaneous Driver Sizing and Buffer Insertion Using a Delay Penalty Estimation Technique”, 2002 International Symposium on Physical Design, Apr. 2002, pp. 104-109.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Apparatus and method for incorporating driver sizing into... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Apparatus and method for incorporating driver sizing into..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Apparatus and method for incorporating driver sizing into... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3373436

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.