Antifuse structure and process

Semiconductor device manufacturing: process – Making device array and selectively interconnecting – Using structure alterable to conductive state

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S467000, C438S787000, C438S791000, C438S381000

Reexamination Certificate

active

06344373

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Technical Field
This invention generally relates to semiconductor circuit fabrication, and more specifically relates to antifuses in semiconductor devices.
2. Background Art
The proliferation of electronics in our modern world is in large part due to integrated circuit semiconductor devices. Integrated semiconductor devices are designed and used for widely differing applications. For this reason it is often beneficial to have the ability to “personalize” a semiconductor device during fabrication. Personalization of a integrated devices involves changing the integrated device to meet specific circuit needs. For example, changing the input and output structure of a device to allow it to be used in multiple applications.
Often, it is not cost effective to create separate fabrication lines, with different masks and such, for each small change in the device for associated circuit requirements. For this reason, specific techniques are used to personalize the device, i.e., to make specific changes in the device to meet a particular need. Some techniques use fuses to customize a device for a particular need. To personalize such a device, some of the available fuses are blown by a laser or other means to make the desired changes to the device and associated circuits.
Unfortunately, the use of fuse links for device personalization has inherent limitations. Specifically, the fuse link can only be blown open or left closed, they cannot be used to make a previously open link closed. Personalization where a previously open connection is made closed requires the use of an “antifuse.” Antifuses are structures that, when first fabricated, are an open circuit. When the antifuse is “fused,” the open circuit becomes closed and conduction across the antifuse becomes possible. Thus, antifuses are used to perform the opposite function of a fuse.
Typically an antifuse is fused by applying a sufficient voltage, called a “fusing voltage” across the antifuse structure. This voltage causes a current to flow and the structure to fuse together, resuling in a permanent electrical connection.
The prior art antifuse technology has several disadvantages. For example, many prior art antifuses require specific metal types be used as electrodes. These metals are not always compatible with common fabrication technologies. For example, some prior art devices require a transparent electrode and thus cannot use electrodes consisting of aluminum or polysilicon which are opaque. Furthermore, these antifuse structures generally require 12-15 volts to fuse the antifuse. Applying such a voltage to the antifuse can cause damage to other circuit elements, and thus these antifuses may be incompatible with low-voltage semiconductor devices that commonly operate at 3.3 volts or 2.5 volts. Additionally, these structures will be difficult to scale to the significantly smaller sizes that will be required as semiconductor device density increases.
Therefore, there existed a need to provide an improved structure and method for semiconductor device personalization. Specifically a need existed for an improved antifuse structure and method that can be fused with a lower fusing voltage, can be scaled to smaller device sizes, and can operate with a wide variety of electrodes.
DISCLOSURE OF INVENTION
According to the present invention, an antifuse structure and method for personalizing a semiconductor device is provided that overcomes the limitations of the prior art. The preferred embodiment antifuse comprises a two layer transformable insulator core between two electrodes. The transformable core is normally non-conductive but can be transformed into a conductive material by supplying a sufficient voltage across the electrodes. The two layer core preferably comprises an injector layer and a dielectric layer. The injector layer preferably comprises a two phase material such as silicon rich nitride (SRN) or silicon rich oxide. Initially, the injector layer and dielectric layer are non-conductive. When a sufficient fusing voltage is applied, the core fuses together and becomes conductive.
The present invention has the advantage of being adaptable to a wide variety of uses. In particular, the electrodes can comprise any suitably conductive material (i.e., tungsten, titanium, polysilicon, aluminum, doped silicon). The ability to use any conductive material allows the preferred embodiment antifuse to be used in a wide variety of devices for a wide variety of applications.
Furthermore, the preferred embodiment is highly scalable. The preferred embodiment can be scaled to smaller dimensions and lower operating and fusing voltages. This will allow it to be used in future low voltage technologies.
The foregoing and other features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings.


REFERENCES:
patent: 4870470 (1989-09-01), Bass, Jr. et al.
patent: 5070384 (1991-12-01), McCollum et al.
patent: 5095228 (1992-03-01), Galbraith et al.
patent: 5166557 (1992-11-01), Chen et al.
patent: 5257222 (1993-10-01), Lee
patent: 5258643 (1993-11-01), Cohen
patent: 5270251 (1993-12-01), Cohen
patent: 5272666 (1993-12-01), Tsang et al.
patent: 5304508 (1994-04-01), Cohen
patent: 5311039 (1994-05-01), Kimura et al.
patent: 5384481 (1995-01-01), Holzworth et al.
patent: 5486707 (1996-01-01), Look et al.
patent: 5493144 (1996-02-01), Bryant et al.
patent: 5493147 (1996-02-01), Holzworth et al.
patent: 5502000 (1996-03-01), Look et al.
A. Bhattacharyya, et al; Physical and Electrical Characteristics of LPCVD Silicon Rich Nitride, The Electrochemical Society, Vol. 84-2, Oct. 11, 1984 for SRN Processes.
D.J. Dimaria, et al.; A study of the electrical and luminescence characteristics of a novel Si-based thin film electroluminescent device, J. Appl. Phys.54(8) Aug., 1983 for SRO processes.
D.J. Dimaria and D.W. Dong, High Current Injection into SiO2from silicon SiO2and Experimental Applications, J. Appl. Phys. 51(5) May, 1980.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Antifuse structure and process does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Antifuse structure and process, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Antifuse structure and process will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2960967

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.