Acoustic detection of dechucking and apparatus therefor

Chemistry: molecular biology and microbiology – Measuring or testing process involving enzymes or... – Involving nucleic acid

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

06403322

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates to a method of detecting completion of dechucking of a substrate and apparatus therefor. The method is useful for detecting dechucking of a semiconductor wafer during processing such as plasma processing in a vacuum chamber. The apparatus for detecting the completion of dechucking can be incorporated in a lift pin arrangement for lifting substrates such as semiconductor wafers or flat panel display substrates.
BACKGROUND OF THE INVENTION
Various types of equipment exist for semiconductor processing such as plasma etching, ion implantation, sputtering, rapid thermal processing (RTP), photolithography, chemical vapor deposition (CVD) and flat panel display fabrication processes wherein etching, resist stripping, passivation, deposition, and the like, are carried out. In such systems, it is necessary to transport and/or support the substrate by lift pin mechanisms. Such lift pin mechanisms can be used for temporarily supporting the substrates during transfer, thermal, chemical, optical and other treatments of the substrates.
Plasma generation is used in a variety of such semiconductor fabrication processes. Plasma generating equipment includes parallel plate reactors such as the type disclosed in commonly owned U.S. Pat. No. 4,340,462, electron cyclotron resonance (ECR) systems such as the type disclosed in commonly owned U.S. Pat. No. 5,200,232 and inductively coupled plasma systems such as the type disclosed in commonly owned U.S. Pat. No. 4,948,458. In such plasma processing systems, it is conventional to support the substrate to be treated on a substrate holder within a portion of a plasma process chamber. Further, it is conventional to hold the substrate on the substrate holder by mechanical and/or electrostatic clamping mechanisms. An example of a mechanical clamping system is disclosed in U.S. Pat. No. 4,615,755 and an example of an electrostatic chucking (ESC) arrangement is disclosed in U.S. Pat. No. 4,554,611.
In order to transfer a substrate such as a wafer into a substrate processing chamber, it is conventional to utilize robot arm and lift pin arrangements such as the types disclosed in U.S. Pat. Nos. 4,431,473, 4,790,258, 4,842,683 and 5,215,619. In order to lower the wafer onto a substrate holder, it is conventional to use a lift pin arrangement such as the type disclosed in U.S. Pat. No. 4,431,473 wherein four lift pins are arranged in a circular pattern which is concentric with a substrate in the form of a wafer.
U.S. Pat. No. 5,948,986 discloses a technique utilizing acoustic waves for monitoring the presence of a substrate prior to electrostatically clamping the substrate on an ESC. U.S. Pat. No. 6,182,510 B1 discloses an apparatus utilizing acoustic waves to measure wafer temperature during processing thereof, e.g., the acoustic waves are transmitted to the wafer using lift pins as pin transducers. U.S. Pat. No. 5,872,694 discloses an apparatus for determining warpage in a wafer and providing an optimum clamping voltage with an ESC. Once processing of a wafer is completed, various techniques have been proposed for dechucking a wafer and/or determining when clamping forces have been sufficiently released to allow safe movement of the wafer. See, for example, U.S. Pat. Nos. 5,117,121; 5,491,603; 5,790,365; 5,818,682; 5,900,062; 5,956,837; and 6,057,244.
While techniques have been proposed for monitoring/predicting when wafer dechucking has been completed, such techniques may not adequately determine when the clamping forces on the substrate are reduced sufficiently to allow movement of the substrate from the chuck surface by lifting pins or other transfer mechanism. As such, there is a need in the art for more accurate techniques in determining when a substrate has been sufficiently dechucked to allow transfer thereof from the clamping surface.
SUMMARY OF THE INVENTION
The invention provides a method and apparatus for detecting dechucking of an electrostatic chuck. The apparatus includes a substrate support including an electrostatic chuck adapted to electrostatically clamp a semiconductor substrate on a support surface thereof, an acoustic signal generator adapted to transmit acoustic signals to the semiconductor substrate, and a detection device adapted to detect first and second conditions of the semiconductor substrate, the first condition being detected when the semiconductor substrate is electrostatically clamped and the second condition being detected by the detection device when all of the semiconductor substrate is not electrostatically clamped. In a preferred embodiment, the substrate support includes lift pins which contact the substrate support and the acoustic signal generator transmits the acoustic signals through at least one of the lift pins in contract with the semiconductor substrate. The substrate support can be located in a vacuum chamber such as a plasma etch chamber or CVD chamber for depositing a layer of material on the substrate


REFERENCES:
patent: 4340462 (1982-07-01), Koch
patent: 4431473 (1984-02-01), Okano et al.
patent: 4554611 (1985-11-01), Lewin
patent: 4615755 (1986-10-01), Tracy et al.
patent: 4790258 (1988-12-01), Drage et al.
patent: 4842683 (1989-06-01), Cheng et al.
patent: 4948458 (1990-08-01), Ogle
patent: 5117121 (1992-05-01), Watanabe et al.
patent: 5215619 (1993-06-01), Cheng et al.
patent: 5220232 (1993-06-01), Tappan et al.
patent: 5436790 (1995-07-01), Blake et al.
patent: 5491603 (1996-02-01), Birang et al.
patent: 5788814 (1998-08-01), Sun
patent: 5790365 (1998-08-01), Shel
patent: 5818682 (1998-10-01), Loo
patent: 5858099 (1999-01-01), Sun
patent: 5872694 (1999-02-01), Hoinkis et al.
patent: 5900062 (1999-05-01), Loewenhardt et al.
patent: 5948986 (1999-09-01), Brown
patent: 5956837 (1999-09-01), Shiota et al.
patent: 5996415 (1999-12-01), Stanke et al.
patent: 6004752 (1999-12-01), Loewy
patent: 6022807 (2000-02-01), Lindsey
patent: 6057244 (2000-05-01), Hausmann et al.
patent: 6075375 (2000-06-01), Burkhart et al.
patent: 6092419 (2000-07-01), Dixon et al.
patent: 6112595 (2000-09-01), Stanke et al.
patent: 6182510 (2001-02-01), Stanke et al.
patent: 6326149 (2001-12-01), Loewy

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Acoustic detection of dechucking and apparatus therefor does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Acoustic detection of dechucking and apparatus therefor, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Acoustic detection of dechucking and apparatus therefor will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2983426

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.