Method for removing photoresist from low-k films in a...

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S706000

Reexamination Certificate

active

06693043

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates to a process for making integrated circuit structures. More particularly, this invention relates to a process for removing a resist mask formed over a low dielectric constant material.
BACKGROUND OF THE INVENTION
In the continuing reduction of scale in integrated circuits, both the vertical and horizontal distances between metallic features such as interconnects, have shrunk. As a result, the capacitive coupling between such features has increased, with a resulting decrease in speed and increase in cross-talk between the interconnects. These effects adversely affect the performance of integrated circuits as the spacing decreases. For this reason, the search for lower dielectric constant materials to fill the spaces, has become a top priority.
Silicon oxide doped with carbon, as results from the binding of silicon with organic groups such as in methyl-doped silicon oxide, has a dielectric constant (k) of <3.0, compared to silicon dioxide at 4.0. While carbon-doped silicon materials can succeed in lowering the dielectric constants of layers formed from these materials, the carbon-silicon bond is not as strong as that of silicon-oxygen or silicon-hydrogen. As a result, these silicon-carbon bonds can easily be disrupted in subsequent processing, such as stripping of photoresist after an etch step.
Because of this sensitivity, it has been found to be necessary to provide thin barrier layers of conventional silicon dioxide below and above the layer of low-k materials, to protect the upper and lower surfaces from damage. While silicon dioxide has proved effective at protecting these surfaces, it cannot protect the newly formed side wall surfaces formed during the creation of vias or contact holes through the low-k film. Exposure of such side wall surfaces of the via formed in the low-k material can contribute to a phenomenon known as via poisoning, wherein conductive barrier material subsequently deposited in the via, such as tantalum nitride liner and copper filler material, fails to adhere to the via surfaces. These surfaces are particularly vulnerable to the resist strip process, the process used to remove the photoresist mask from the surface of the wafer.
In the removal of the photoresist mask using an O
2
plasma stripping process at high temperature such as 250° C., oxygen apparently attacks the Si—C and Si—H bonds. Thus, when the photoresist is removed with conventional stripping techniques, damage can occur to the newly formed and exposed low-k material surfaces. For this reason, considerable resources have been applied to research and development of reducing processes, rather than oxidizing processes, as reducing processes were thought to be gentler to the sensitive surfaces.
However, it has been found that reactive H formed by the reducing plasma carries enough energy to break down the low dielectric constant material and deplete carbon from the chemically less stable Si—C bonds, leaving unsaturated Si bonds behind or replacing the Si—C bonds with Si—H bonds. Unsaturated Si or Si—H bonds are very sensitive to O
2
or H
2
O, as they readily react with O
2
or pick up moisture upon exposure to air after the process. The inclusion of even a small amount of water can have a significant effect on the dielectric constant of the film, as the dielectric constant of water is 80. Furthermore, absorption of water by the film can poison the vias. In this situation, the electrical resistance of the metal of the interconnect is greatly increased due to the chemical reaction of the water vapor with the metal being used to line or fill the holes. Therefore, a first disadvantage of reducing plasmas is the creation of reactive bond sites which can bind water molecules which subsequently poison the vias.
A second disadvantage of the reducing chemistry plasmas is the lower strip rate. Typical low-k strip processes for organo-silicate glasses (OSGs) include an H
2
base, either via ammonia NH
3
, hydrogen H
2
, H
2
and N
2
, or H
2
O vapor. The strip rates of these processes are relatively slow, 100-200 nm/minute. The lower strip rate increases the processing time that the wafer is exposed to the plasma, which may cause further deterioration of the low-k material
However, the biggest disadvantage of the reducing chemistry plasma may be the measured increase in dielectric constant of the films processed with a reducing chemistry. One of the factors contributing to the bigger dielectric constant shifts appears to be that the exposed Si—O or Si—C bonds react with N radicals or ions in an N-containing plasma to form Si oxynitrides or nitrides, with the activation energy supplied by heat, laser or plasma. The dielectric constant of Si
3
N
4
is 7 and that Of SiO
2
is 4. A secondary effect occurs for reducing chemistry plasmas using hydrogen, in that many materials, and porous low-k dielectric films in particular, are more permeable to hydrogen than to oxygen. Hydrogen may therefore penetrate the low-k dielectrics more deeply and attack Si—C bonds in a more extensive manner under plasma conditions, causing a higher dielectric constant shift compared to O-containing chemistries.
However, oxidizing chemistries at high temperature were considered to be too aggressive for the fragile low-k material and have had limited application in the low-k related stripping processes. Because oxygen reacts readily with the organic materials of the photoresist, it was anticipated that oxidizing chemistries would react aggressively with the organo-silicate materials.
SUMMARY
The invention relates to a dry plasma cleaning process for post-etch photoresist stripping and residue removal from a wafer that includes at least one layer of low-k dielectric material. The invention makes use of a downstream plasma in a dual power system to reduce the dielectric constant shift of the low-k film.
The invention makes use of multiple process steps, to carry out different aspects of the invention. In a first step, the hardened crust layer is removed and the bulk photoresist is stripped. In a subsequent step, the remaining film is treated to remove residues or a damaged layer, or otherwise repair the damage left by the first step of the invention.
In some embodiments of the present invention, the photoresist mask is stripped by oxidizing plasma at low temperature and low pressure in a downstream plasma system, to reduce damage to underlying low-k material. In some other embodiments of the present invention, the oxidizing gas is a mixture of O
2
with a small percentage of a fluorine-containing compound, such as CF
4
. The fluorine-containing gas reacts with the trace metal and inorganic contaminants that are left over from the etch process.
The low temperature may avoid excessive depletion of the carbon and organic dopants in the silicon film, by reducing the diffusion of oxygen into the low-k dielectric layers. The low temperature may also reduce oxidation of the copper interconnects. Lastly, the low pressure reduces the possible alteration of the sidewalls of the low-k dielectric material due to ion or radical scattering. By avoiding the use of hydrogen in the plasma, any damage that occurs is in a thin boundary layer at the surface of the film. The damaged layer may subsequently be removed in the second treatment step, as will be described below.
In a first embodiment of the invention, a dual source tool is used, with downstream plasma in addition to the usual RF plasma. The downstream plasma source provides a higher density of radicals to form a densified layer on the low-k material, which protects the material from subsequent reaction with ambient contaminants. The downstream plasma radicals also promote a substantially uniform photoresist strip, especially on the top surface. As a result, the k-shift associated with damage from longer processing time may be reduced.
The invention is found to yield clean surfaces which have been cleared of photoresist and residue, yet the dielectric constants of the low-k films remain lower than those generated by reducing chemistry plas

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method for removing photoresist from low-k films in a... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method for removing photoresist from low-k films in a..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method for removing photoresist from low-k films in a... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3355242

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.