Plasma treatment of processing gases

Chemistry: electrical and wave energy – Processes and products – Electrostatic field or electrical discharge

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

06685803

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates to methods and devices for dielectric barrier discharge plasma treatment of processing gases.
BACKGROUND OF THE INVENTION
Semiconductor fabrication techniques employ a variety of gases for such processes as thin film deposition, etching, surface preparation and chamber cleaning. Additionally, gases can be formed as by-products of these fabrication techniques. Many process and by-product gases are toxic, corrosive or combustible. Consequently, semiconductor fabrication techniques typically require treatment of effluent gases to remove noxious substances. Conventional treatment techniques include wet and dry scrubbing, and treatment in an oxidizing or reducing environment usually followed by wet or dry scrubbing. Fluorine-containing compounds are present in many semiconductor processing effluent gases. Conventional abatement techniques for fluorine gases include incineration of these gases followed by wet or dry scrubbing. It is well known to those of ordinary skill in the art that these incineration techniques are inefficient and result in generating much waste heat.
Also, it is known to use thermal and non-thermal plasmas for treating hazardous gases, such as fluorine-containing compounds, in order to convert these gases to environmentally safe products, see for example PCT International Application Publication WO 99/26726. In this publication, Shiloh et al. disclose the use of DBD (dielectric barrier discharge) non-thermal plasmas for pollution abatement. DBD technology employs DBD cells each having two electrodes, wherein one or both electrodes of each cell is provided with an insulator. Each DBD cell is energized by means of a high frequency alternating current electrical power supply. The high frequency energy is discharged capacitatively through the insulator, forming a plasma discharge between the electrodes. Shiloh et al. disclose a variety of DBD cell configurations, exemplified herein as
FIGS. 1 through 5
. As schematically illustrated in
FIG. 1
, an illustrative DBD cell includes electrically conductive electrodes
30
and
32
. Insulator dielectric layers
34
and
36
are provided to electrodes
30
and
32
respectively, such that the dielectric layers are interposed between the electrodes. Suitable dielectric materials include alumina and quartz. A high frequency electrical power supply
38
is connected to electrodes
30
and
32
.
A gas stream is caused to flow between dielectric layers
34
and
36
of the DBD cell depicted in
FIG. 1
, entering for example at gas inlet
39
and exiting at gas outlet
40
. High frequency power supply
38
is activated, forming a plasma discharge between electrodes
30
and
32
wherein the energy is capacitatively discharged through dielectric layers
34
and
36
. The plasma activates the gas molecules causing dissociation, ionization or free radical formation which is utilized to for example convert noxious gaseous compounds into environmentally friendly compounds or into compounds which can be more easily removed through the use of conventional scrubber technology. Also, a reactive gas such as oxygen or hydrogen can be introduced into the cell, for example at gas inlet
39
, to react with compounds in the plasma environment. Cells, such as the cell shown in
FIG. 1
, can be utilized in series by causing the gas stream to flow through two or more consecutive cells to provide a more effective gas treatment system.
Alternative DBD cell configurations are illustrated in
FIGS. 2-5
. The cell depicted in
FIG. 2
includes electrically conductive electrodes
42
and
44
. A dielectric layer
46
is provided to electrode
42
such that layer
46
is interposed between the electrodes.
FIG. 3
illustrates a cell having curved electrodes
50
and
52
that are positioned on opposite sides on the outside of a dielectric tube
54
. The cell shown in
FIG. 4
includes a cylindrical electrode
56
and a conductive wire electrode
58
. A dielectric layer
60
is provided to the inside of cylindrical electrode
56
. The cell illustrated in
FIG. 5
includes concentric cylinder-shaped electrodes
62
and
64
. Dielectric layers
66
and
68
are provided to the inside of electrode
62
and to the outside of electrode
64
respectively. A plasma is generated by the electrodes of the cells shown in
FIGS. 2-5
employing a technology similar to that described in connection with FIG.
1
.
Within each of the prior art cells shown in
FIGS. 1-5
, the electrodes are placed in opposing positions. At least one of the electrodes of each cell is provided with a dielectric layer facing the opposing electrode. The electrodes and the dielectric layer(s) are positioned substantially parallel to the gas stream.
Shiloh et al. disclose high frequency power supplies for use with cells such as those exemplified in
FIGS. 1-5
, and control techniques wherein sensors indicating for example gas composition or temperature can be employed to monitor or control the DBD abatement process.
It is also known to use a RF (radio frequency) plasma source for fluorocarbon abatement of semiconductor fabrication processes, see for example Vartanian et al.,
Long
-
Term Evaluation of the Litmas “Blue” Plasma Device for Point
-
of
-
Use
(
POU
)
Perfluorocompound and Hydrofluorocarbon Abatement
, Technology Transfer# 99123865A-ENG, International SEMATECH, pp. 1-50, Jan. 7, 2000. The device disclosed by Vartanian et al. includes a dielectric tube, such as alumina, surrounded by RF excitation coils. A variable frequency power supply is employed for generating a high density RF plasma that is contained inside the dielectric tube.
Gas mixtures that are discharged from semiconductor processing or fabricating devices or equipment, such as etch chambers, can rapidly change in flow rate and pressure. For example, pressure variations from 100 mTorr up to about 1500 mTorr and gas flow rate variations from tens to hundreds sccm (standard cubic centimeters per minute) can occur within seconds and can be repeated every few minutes. Conventional abatement techniques have generally tried to meet the need for responding to these rapid changes by operating the abatement technique such that it will provide satisfactory abatement under the anticipated highest levels and amounts of noxious compounds, generally resulting in wasted resources and development of waste heat due to unnecessary high treatment levels when relatively low levels of noxious compounds are present.
The abatement methods and devices disclosed by Shiloh et al. in publication WO 99/26726 were found to be quite effective. However, experience with these methods and devices showed the need for improvements. The needed improvements include improved efficiency, reduced heat development, improved gas flow through the cell and reduced operating costs, as well as improved integration with semiconductor fabricating devices or tools and pump systems.
The abatement methods and devices disclosed by Vartanian et al. utilize RF plasma technology. Compared with DBD technology, RF technology generates more waste heat. Also, the higher operating temperature of RF systems is more likely to introduce thermally caused stresses in the dielectric tube than is likely to occur in DBD systems.
SUMMARY OF THE INVENTION
The present invention provides novel devices, techniques and processes for plasma treatment of processing gases that overcome the prior art problems described above.
In one embodiment of the present invention a DBD cell is provided wherein a pair of ring shaped electrodes are positioned side-by-side on a dielectric tube.
In another embodiment of the present invention a DBD cell having ring shaped electrodes that are positioned side-by-side on a dielectric tube, is provided with one or more sensors for determining temperature or chemical composition of a gas present in the cell.
In another embodiment of the present invention a DBD reactor including several DBD cells is provided. Each of the cells includes a pair of ring shaped electrodes that are positioned side-by-side on the sam

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Plasma treatment of processing gases does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Plasma treatment of processing gases, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Plasma treatment of processing gases will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3321477

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.