Semiconductor device utilizing a rugged tungsten film

Active solid-state devices (e.g. – transistors – solid-state diode – Field effect device – Having insulated electrode

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C257S310000, C257S751000, C257S915000

Reexamination Certificate

active

06348708

ABSTRACT:

BACKGROUND OF THE INVENTION
The sent invention relates to a semiconductor memory device including a capacitor having a large capacitance and a related method of manufacturing such a memory device. In particular, the present invention is directed to a semiconductor memory cell capacitor including a roughened refractory metal or metal silicide film.
DESCRIPTION OF THE PRIOR ART
Dynamic random access memories (DRAMs) are well known semiconductor memories which typically include an array of memory cells. Each memory cell includes an active element, such as a transistor, and a capacitor. As integration density increases, the area on the surface of a semiconductor chip available for each memory cell decreases. In addition, design rules, which dictate the layout of the DRAM, allocate space exclusively for the transistor in each memory cell, thereby further limiting the surface area available for forming the capacitor.
On the other hand, it is necessary for the effective area of the capacitor to be as large as possible. This is because capacitance increases with increasing capacitor area. Accordingly, efforts have been made to fabricate three-dimensional capacitor structures to increase the effective area of the memory cell capacitor. These three-dimensional structures include stacked structures, trenched structures, cylindrical structures and finned structures. These structures, however, are complicated, typically difficult to fabricate, and suffer from poor reliability.
The capacitance of the capacitor memory cell may also be increased by reducing the thickness of the capacitor dielectric. Thus, research into the development of future generations of DRAMs has focused, in part, on fabricating very thin dielectric films because it is expected that 256M DRAMs, for example, will have a capacitor dielectric thickness of about 3 nm (assuming that the dielectric is an oxide film such as silicon dioxide).
An oxide-nitride-oxide (ONO) film has been proposed for use in three-dimensional capacitor structures. Although ONO has a relatively high dielectric constant, it is not high enough to be used in the miniaturized capacitors of future DRAM memory cells. Moreover, experiments have shown that ONO films cannot be made thinner than 4 nanometers. (See P. J. Wright and K. C. Saraswat, “Thickness Limitation of SiO
2
Gate Dielectrics for MOS ULSI”, IEEE Transactions on Electric Devices, Volume 37, No. 8, 1990). Accordingly, capacitors including ONO dielectric films must have a complicated three-dimensional structure in order to obtain a sufficient capacitance and the resulting capacitance may still be insufficient if the capacitor is small. Such complex capacitor structures, such as the stacked structures, have poor topology, while other structures, such as cylindrical and finned capacitor geometries, require a high degree of planarization. Although such planarization can be achieved, it is obtained at the expense of having to form buried contacts of different depths during subsequent wire forming process steps.
Trench capacitors have also been proposed to provide an increased capacitance. These structures, however, have a high aspect ratio, thereby making them difficult to etch and clean. In addition, it is difficult to provide a buried silicon capacitor electrode in a trench.
Two solutions to the above described problems have been proposed. The first involves forming the storage node or capacitor using HSG-Si (hemispherical grained silicon). HSG-Si has a relatively rough morphology as opposed to conventional silicon surfaces, which can be made quite smooth by altering the chemical vapor deposition (CVD) process used for making polycrystalline silicon. Specifically, instead of depositing polycrystalline silicon at a temperature over 600° C., hemispherical silicon grain projections are formed by performing the deposition at 550° C. Alternatively, the standard CVD deposition of polycrystalline silicon can be used, followed by heat treatment within the range of 580 to 600° C. A capacitor storage node formed of HSG-Si will have an effective capacitor area which is approximately 1.8 to 2.0 times that of a comparable storage node having a smooth silicon layer. It is thus a candidate for making capacitors in a smaller area limited by design rules. Although high quality HSG-Si films have been grown, such films are not suitable for use in conjunction with the ONO dielectric films described above. This is because the resulting capacitor structure has a capacitance of only 9.1 fF/mm
2
. This is the case even with variations in topology in the range of 0.8 mm. Accordingly, a complicated capacitor structure must still be formed in order to obtain sufficient of the memory cell capacitance.
According to a second approach, films having a large dielectric constant CR have been proposed for use in capacitors of DRAM memory cells. These films include Ta
2
O
5
(tantalum pentaoxide) &egr;R=24), PZT (lead zirconate, titanate) &egr;R=2000), and BST (barium strontium titanate) (&egr;R=3000).
For example, tantalum pentaoxide is frequently deposited by low pressure chemical vapor deposition (LPCVD), plasma enhanced (CVD or electron cyclotron resonance (ECR). In each of these methods, penta-ethoxy-tantalum Ta(OC
2
H
5
)
5
and oxygen are introduced into a reaction chamber. The penta-ethoxy-tantalum serves as a source for Ta to be oxidized by the oxygen. Tantalum pentaoxide has a dielectric constant within the range of 22 to 28, which is more than 6 times greater than silicon dioxide. In addition, when subjected to an appropriate heat treatment, the tantalum pentaoxide film has a relatively low leakage current of about 10
−9
to 10
−7
A/cm
2
under an electric field of 4 MV/cm. Accordingly, tantalum pentaoxide would appear to be a prime candidate for a thin film capacitor dielectric in future DRAMs.
Unfortunately, when tantalum pentaoxide is deposited on silicon, oxygen reacts with the underlying silicon layer, thereby forming a thin layer of silicon dioxide. This silicon dioxide layer grows thicker during the above described heat treatment of the tantalum pentaoxide layer. Since silicon dioxide has a lower dielectric constant than tantalum pentaoxide, the effective dielectric constant of the combined silicon dioxide/tantalum pentaoxide layer is significantly lower than that of tantalum pentaoxide alone.
Recently, it has been proposed to deposit an intermediate layer of silicon nitride to prevent formation of silicon dioxide during the deposition of tantalum pentaoxide. This silicon nitride layer is formed by nitridation of the surface of the silicon storage node prior to deposition of the tantalum pentaoxide layer. The resulting film has an improved dielectric constant, as well as leakage current. (See Satoshi Kamiyana, Piere-Yves Lesaicherre, Akihiko Ishitani, Akir Sakai, Akio Tanikawa and Iwao Nishiyama, Extended Abstracts of the 1992 International Conference on Solid State Devices and Materials, Tskuba, pp. 521-523, 1992, and P. C. Fazan, V. K. Mathews, R. J. Maddox, A. Ditali, N. Sandler, and D. L. Kwong, Extended Abstracts of the 1992 International Conference on Solid State Devices of Materials, Tskuba, pp. 697-698, 1992).
It has further been proposed to deposit tantalum pentaoxide on a rough silicon surface serving as a capacitor electrode. The resulting storage node has a capacitance which is 70% higher than that associated with smooth silicon and has good reliability. (See H. Watanabe, T. Tatsumi, T. Niino, A. Sakai, N. Aoto, K. Koyama and T. Kikkawa, Extended Abstracts of the 1991 International Conference on Solid Devices and Materials, Yokohama, pp. 478-480). The technique used to fabricate this structure, however, will become practical only when high capacitances (e.g., 12.5 sfF/mm
2
) become reproducible.
Moreover, so long as the tantalum pentaoxide film is deposited on a silicon capacitor underside electrode, silicon dioxide will be formed during the tantalum pentaoxide deposition. Accordingly, an intermediate silicon nitride layer must be deposited prior to the tantalum pent

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Semiconductor device utilizing a rugged tungsten film does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Semiconductor device utilizing a rugged tungsten film, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Semiconductor device utilizing a rugged tungsten film will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2975265

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.