Semiconductor device fabricating method and system for...

Semiconductor device manufacturing: process – Coating with electrically or thermally conductive material – To form ohmic contact to semiconductive material

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S627000, C438S643000, C438S653000, C438S656000, C438S660000, C438S685000, C438S775000

Reexamination Certificate

active

06399484

ABSTRACT:

BACKGROUND OF THE INVENTI
0
N
1. Field of the Invention
The present invention relates to a semiconductor device fabricating method and a semiconductor device fabricating system. More specifically, the present invention relates to a semiconductor device fabricating method that forms a tungsten nitride film (WN
x
film) for using as wiring lines, a barrier-metal or electrodes, and a system for carrying out the same.
2. Description of the Related Art
The resistance of gates of semiconductor devices, such as field-effect transistors, increases with the miniaturization of the semiconductor devices. Therefore, desired is materials for forming wiring lines and electrodes, capable of forming a gate having a low resistance even if the gate is miniaturized. Known conductive polysilicon films (poly-Si films) have been replaced in recent years with metal silicide films having a high melting point, such as tungsten silicide films. Recently, tungsten films have been believed to be promising because tungsten films are capable of forming gates having a resistance lower by one figure than that or gates formed from tungsten silicide films.
A laminated film including a tungsten film for forming electrodes is formed by laminating a gate oxide film, a poly-Si film and a tungsten film. If the tungsten film is laminated directly to the poly-Si film, portions of the poly-Si film and the tungsten film facing the interface between the poly-Si film and the tungsten film interact and produce tungsten silicide (WSi) when the laminated film is subjected to a heat treatment process (850 to 900° C.) The tungsten silicide (WS
1
) produced in the interface increases the resistance of the electrode formed from the laminated film. If active reaction between the poly-Si film and the tungsten film takes place in the interface, the tungsten forming the tungsten film will further diffuse through the gate oxide film into a silicon wafer supporting the laminated film, which may increase leakage current that leaks from the transistor or reduce breakdown voltage.
When using a tungsten film for forming an electrode, it is general to prevent interaction between tungsten and poly-Si by sandwiching a barrier film, i.e., a metal nitride film having a high melting point, such as a tungsten nitride film or titanium nitride film, between the tungsten film and the poly-Si film. The tungsten nitride film is stable at rather high temperatures and can be formed of crystal grains of a crystal structure other than a columnar structure. And also large tungsten crystal grains can be grown on the tungsten nitride film to form a tungsten film having a low resistivity. However, the titanium nitride film can be formed of crystal grains only of a columnar structure, and tungsten film to be formed over this titanium nitride film has only limited grain sizes.
Incidentally, the following are known methods for forming tungsten nitride films, i.e., preferable gate barrier films.
(1) Thermal CVD method using WF
6
gas (tungsten hexafluoride gas) and NH
3
gas (ammonia gas)
(2) Plasma CVD method using WF
6
gas and NH
3
gas, such as disclosed in JP-A No. 50515/1989
(3) Plasma CVD method using WF
6
gas, N
2
gas and H
2
gas, such as disclosed in JP-A No. 50515/1989
(4) Plasma CVD method using WF
6
gas and NF
3
gas (nitrogen trifluoride gas) (Suzuki, et al., “Advanced Metalization and Interconnect Systems for ULSI Application in 1997”, Mter. Res. Soc., 1998, 49)
(5) Thermal CVD method using organic tungsten source (Sun, et al., Proc. of 13
th
VMIC, 151, 1996)
SUMMARY OF THE INVENTION
The thermal CVD method of (5) needs a high film forming temperature exceeding 600° C. and the diffused layer of a transistor may possibly be damaged at such a high film forming temperature. The film forming methods of (1) to (4) use film forming temperatures in the range of 450 to 500° C. and hence do not encounter any problem like that encountered by the film forming method of (5).
The film forming methods of (2) to (4) using a plasma are easy to give damage to the gate oxide and have poor step coverage of via holes or the like, and need an expensive film forming system.
The film forming method of (1) is a thermal CVD method that does not need any plasma and hence does not encounter any problem like that encountered by the plasma CVD methods of (2) to (4). However, the tungsten nitride film formed by the thermal CVD method of (1), as compared with the tungsten nitride film formed by the plasma CVD method, has a relatively high resistivity. There is a further problem that, when a tungsten film is formed over a tungsten nitride film by a thermal CVD method, and the tungsten film and the tungsten nitride film underlying the former are heat-treated at a temperature in the range of 800 to 900° C. for 60 s, the tungsten film peels from the tungsten nitride film.
As a result, the thermal CVD method of (1) using WF
6
gas and NH
3
gas is preferable for the method of forming tungsten nitride films. However, in the method of (1), the tungsten film is liable to peel at the heat-treatment step after forming the tungsten nitride film. And also the tungsten nitride film has a relatively high resistivity.
Sometimes, a tungsten nitride film formed by a prior film forming method contains fluorine in a high content. The fluorine contained in the tungsten nitride film affects adversely the reliability of a semiconductor device fabricated by using the tungsten nitride film. Accordingly, it is desired to determine film forming conditions that should reduce the fluorine content of the film.
In most cases, holes are formed in a surface on which a film is to be deposited. When forming a film on such a surface having holes, the film must be formed over the flat surface and over the bottoms of the holes in a uniform bottom coverage (step coverage). It is desired that bottom coverage (step coverage), i.e., the ratio of the thickness of the film formed on the bottom of a hole to that of the film formed on the flat surface, is 70% or above.
In most cases, various thermal processes follow the film forming process for forming a tungsten nitride film. Strength of adhesion of the tungsten nitride film to the underlying film must be high enough to prevent the peeling of the tungsten nitride film from the underlying film during the thermal processes. When a tungsten nitride film is used as an electrode of a capacitor, (i) there must be low leakage current and (ii) a Schottky barrier must be formed between the tungsten nitride film and an insulating film. When a tungsten nitride film is used as a barrier layer between layers of different materials, the tungsten nitride film must be capable of suppressing the diffusion of those different material.
The present invention has been made to solve those problems and it is therefore an object of the present invention to provide a semiconductor device fabricating method and a semiconductor device fabricating system capable of forming a tungsten nitride film meeting the foregoing requirements.
The film forming method of (1) using WF
6
gas and NH
3
gas as source gases produces particles of by-products from the source gases.
The present invention has been made to solve this problem and it is therefore an object of the present invention to provide a semiconductor device fabricating method capable of using WF
6
gas and NH
3
without producing any by-products from WF
6
gas and NH
3
gas in a film forming chamber or of expelling by-products if by-products are produced, and a semiconductor device fabricating system. It is also an object of the present invention to provide a semiconductor device fabricating method capable of removing by-products produced from WF
6
gas and NH
3
gas by in-situ cleaning and by keeping the film forming chamber parts to be the proper temperature at which the by-products do not remain.
The inventors of the present invention made studies of the influence of the by-products towards the film quality that are produced when forming tungsten nitride films by using WF
6
gas and NH
3
gas as source gases, and have found a fact that NH
6

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Semiconductor device fabricating method and system for... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Semiconductor device fabricating method and system for..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Semiconductor device fabricating method and system for... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2953922

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.