Method of obtaining a rounded top trench corner for...

Semiconductor device manufacturing: process – Chemical etching – Having liquid and vapor etching steps

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S710000, C438S706000, C438S745000, C438S733000

Reexamination Certificate

active

06245684

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention pertains to a method of obtaining a rounded top corner on a trench formed in a semiconductor substrate.
2. Brief Description of the Background Art
Trenches formed in silicon using traditional etching methods typically have sharp, squared-off top corners. These sharp, squared-off corners lead to high field stress in film layers subsequently deposited thereon during further processing steps. The high field stress can potentially lead to the breakdown of the deposited film layers. The sharp, squared-off corners are a point of charge accumulation, which can cause the charge to pass through the insulating (dielectric) material, resulting in eventual device failure. As such, rounding of the top trench corner can be critical for device performance, particularly over the long term.
A prior art method for “rounding” the top corners in shallow silicon trench applications is shown in FIG.
1
. In the method illustrated in
FIG. 1
, a film stack
2
comprising a patterned layer
8
of photoresist, a layer
6
of silicon nitride, and a layer
4
of silicon dioxide is deposited (from top to bottom) on a silicon substrate
10
. As shown in
FIG. 1A
, the film stack
2
is first isotropically dry etched to form a trench
12
in the silicon substrate
10
.
The film stack is then wet etched using a conventional wet etch solution (such as hydrogen fluoride, ammonium fluoride, or a buffered oxide etch solution) to undercut the silicon dioxide layer
4
and expose the sharp top corners
14
of the silicon trench
12
, as shown in FIG.
1
B.
FIG. 1B-2
is an enlarged view of a top corner
14
of silicon trench
12
.
A secondary oxide layer
16
is then formed by introducing oxygen into the processing chamber, where it reacts with exposed silicon substrate to form a layer of silicon dioxide lining the trench. As shown in
FIG. 1C
, the top trench corners
18
formed by growth of the secondary oxide layer
16
are rounded. However, although the silicon dioxide corner is rounded, there is still an underlying corner
20
on the silicon surface which can serve as a point of charge accumulation and, ultimately, device failure.
Therefore, as described above, this prior art method does not succeed in sufficiently rounding the top corners of the silicon trench. In addition, there are other disadvantages to this method. For example, during the formation of the secondary oxide layer
16
, some of the silicon substrate material
10
is consumed and converted to silicon dioxide. This leads to alteration of the critical dimensions (i.e., feature size) of the trench. Also, thick shoulders of silicon dioxide may build up on the field surface and top sidewalls of the trench as a result of forming the secondary oxide layer. These thick shoulders can result in void formation during subsequent filling of the trench.
Therefore, a method of obtaining a rounded top corner on a trench formed in a semiconductor substrate which does not alter the critical dimensions of the trench and does not interfere with subsequent filling of the trench would be highly advantageous. It would also be desirable to provide a method of obtaining a rounded top corner on a semiconductor trench that can be used for both shallow trench and vertical trench applications.
SUMMARY OF THE INVENTION
Applicants have discovered a novel method of obtaining a rounded top corner on a trench formed in a semiconductor substrate.
It is an object of this invention to provide a method of obtaining a rounded top corner on a semiconductor trench without altering the critical dimensions of the trench.
It is another object of this invention to provide a method of obtaining a rounded top corner on a semiconductor trench which does not interfere with subsequent processing steps.
It is another object of this invention to provide a method of obtaining a rounded top corner on a semiconductor trench which yields a device having a higher breakdown voltage as compared to prior art trenches.
It is a further object of this invention to provide a method of obtaining a rounded top corner on a semiconductor trench which is useful for both shallow trench applications (e.g., for capacitors) and vertical trench applications (e.g., for vertical transistors or DRAMs).
Accordingly, disclosed herein is a method of obtaining a rounded top corner on a trench formed in a semiconductor substrate. The general method comprises the following steps: (a) providing a film stack comprising the following layers, from the upper surface of the film stack toward the underlying substrate, (i) a first layer of patterned material which is resistant to a wet etch solution used to etch an underlying second layer and which is resistant to dry etch components used to etch the semiconductor substrate, and (ii) a second layer of material which can be preferentially etched using a wet etch solution, wherein the second layer of material is deposited directly on top of the semiconductor substrate; (b) wet etching the second layer by immersing the film stack in a wet etch solution for a period of time sufficient to form an undercut beneath the first layer and to expose the underlying semiconductor substrate; and (c) isotropically dry etching the exposed semiconductor substrate so as to form a trench in the semiconductor substrate.
A preferred method of the invention comprises the following steps: (a) providing a film stack comprising the following layers on a silicon substrate, from the upper surface of the film stack toward the underlying silicon substrate, (i) a patterned layer of photoresist, (ii) a patterned layer of silicon nitride, and (iii) a layer of silicon dioxide, wherein the layer of silicon dioxide is deposited directly on top of the silicon substrate; (b) wet etching the layer of silicon dioxide by immersing the film stack in a wet etch solution comprising an oxygen-selective liquid reagent for a period of time sufficient to form an undercut beneath the silicon nitride layer and to expose the underlying silicon substrate; and (c) isotropically dry etching the exposed silicon substrate so as to form a trench in the silicon substrate.
A particularly preferred method of the invention comprises the following steps: (a) providing a film stack comprising the following layers on a silicon substrate, from the upper surface of the film stack toward the underlying silicon substrate, (i) a patterned layer of photoresist, (ii) a patterned layer of silicon nitride, and (iii) a layer of silicon dioxide, wherein the layer of silicon dioxide is deposited directly on top of the silicon substrate; (b) wet etching the layer of silicon dioxide by immersing the film stack in a wet etch solution comprising an oxygen-selective liquid reagent for a period of time sufficient to form an undercut beneath the silicon nitride layer, wherein the process of wet etching is stopped prior to exposure of the underlying silicon substrate; (c) isotropically dry etching the layer of silicon dioxide using an oxygen-selective gaseous reagent for a period of time sufficient to complete the etching of the silicon dioxide layer and to expose the underlying silicon substrate; and (d) dry etching the exposed silicon substrate so as to form a trench in the silicon substrate.
An alternative, less preferred method of the invention comprises the following steps: (a) providing a film stack comprising the following layers on a silicon substrate, from the upper surface of the film stack toward the underlying silicon substrate, (i) a patterned layer of photoresist, (ii) a layer of silicon nitride, and (iii) a layer of silicon dioxide, wherein the layer of silicon dioxide is deposited directly on top of the silicon substrate; (b) dry etching the entire film stack using dry etch techniques so as to form a trench in the silicon substrate; (c) wet etching the layer of silicon dioxide by immersing the film stack in a wet etch solution comprising an oxygen-selective liquid reagent for a period of time sufficient to form an undercut beneath the silicon nitride layer and to expose

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of obtaining a rounded top trench corner for... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of obtaining a rounded top trench corner for..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of obtaining a rounded top trench corner for... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2510770

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.