Plasma deposition of spin chucks to reduce contamination of...

Semiconductor device manufacturing: process – Coating of substrate containing semiconductor region or of...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

06242364

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to an apparatus that delivers a fluidic media to a semiconductor wafer, and more particularly to depositing a thin film of a dielectric material on a surface of a spin chuck that is used in semiconductor manufacturing.
2. Description of Related Art
Plasma processing of semiconductor work pieces involves the performance of one or more plasma processes such as gas chemistry etching, gas chemistry deposition, physical sputtering, or rapid thermal annealing on one or more semiconductor work pieces/wafers within the plasma chamber. As the geometries of semiconductor devices become ever so smaller, the ability to maintain the uniformity and accuracy of critical dimensions becomes strained. Many of the processes carried out within semiconductor processing reactors leave contaminant deposits throughout the process chamber which accumulate and become the source of particulate matter harmful to the creation of a semiconductor device. As these processes become ever faster and the dimension size of the semiconductor device has become ever smaller, the presence of particulate matter upon the surface of the semiconductor work piece has become more of a risk factor. Consequently, the cleanliness of plasma processing chambers (i.e. plasma etching, reactive ion etching (RIE), plasma enhanced chemical vapor deposition (PECVD), etc.) is critical.
Silicon wafers that are used in semiconductor manufacturing are processed by spin coating a photoactive film, a photoresist, and are then patterned with a desired electronic circuit by photolithography. During this process the wafers are held by a vacuum chuck from their backside while the resist material is applied to the opposite side. The spin chuck leaves a fingerprint of contamination of the backside of the wafer. This fingerprint is mainly attributed to some form of material transfer between the chuck and the silicon surface of the wafer. Contamination can cause a deformation in the focal plane of the wafer during the exposure process which can cause a distortion in the critical features imaged into the resist layer at the site of the contamination. Yields are compromised.
Transfer of contamination from the chuck to the wafer can occur during movement of the wafer into place on the surface of the spin chuck and when vacuum is applied to the wafer when it is supported on the spin chuck. Additional problems are encountered when there are hundreds of contact points between the wafer and the spin chuck.
There is a need for an apparatus and method for a spin chuck with a wafer support surface that provides a reduction in the number of contaminants transferred from the spin chuck to a wafer. There is a further need for an apparatus and method for a spin chuck with a dielectric material on the wafer support surface that provides a cleaning of the wafer support surface. Another need exists for a spin chuck where the application of a dielectric material to the wafer support surface promotes a cross-linking of material of the wafer support surface. Still a further need exists for a spin chuck with a wafer support surface with a coated wafer support surface that provides an encapsulation of particulate matter found on the wafer support surface. Yet another need exists for a spin chuck with a reduced number of contact points between a wafer support surface of the chuck and a wafer positioned on the wafer support surface. A further need exists for a spin chuck with a sufficiently large enough wafer support surface that serves as a thermal shield for a wafer positioned on the wafer support surface and minimizes variations in wafer temperature in the radial direction.
SUMMARY OF THE INVENTION
Accordingly, an object of the invention is to provide spin chuck apparatus that provides for a reduction in contamination delivery to a wafer supported on the spin chuck.
Another object of the invention is to provide an apparatus for delivering a fluidic media to a wafer that includes a spin chuck coated with a dielectric material.
Still another object of the present invention is to provide a spin chuck apparatus that provides for a reduction in magnitude of radial thermal gradients of a wafer supported on the spin chuck.
A further object of the present invention is to provide a spin chuck apparatus that provides for a more uniform deposition of a material on a wafer positioned on the spin chuck.
Yet another object of the present invention is to provide a spin chuck apparatus with a wafer support surface and a skirt positioned at a periphery of the wafer support surface.
A further object of the invention is to provide a method of treating a spin chuck and reduce transfer of contamination from the spin chuck to a wafer.
Another object of the present invention is to provide a method for applying a uniform layer of material on a wafer positioned on a spin chuck
These and other objects of the invention are achieved in an apparatus for delivering a fluidic media to a wafer. The apparatus includes a housing defining a process chamber. A fluidic media delivery member is coupled to the process chamber. A rotatable chuck is positioned in the process chamber. The rotatable chuck has a wafer support surface coated with a dielectric material. A vacuum supply line is coupled to the rotatable chuck.
In another embodiment, an apparatus for delivering a fluidic media to a wafer includes a housing that defines a process chamber. A fluidic media delivery member is coupled to the process chamber. A spin chuck is positioned in the process chamber. The spin chuck has a wafer support surface and a skirt positioned at a periphery and in a non-planar relationship to the wafer support wafer surface. A vacuum supply line coupled to the spin chuck.
In yet another embodiment, a wafer processing apparatus includes a housing, and first, second and third wafer transporters positioned in the housing. Also in the housing is a process station coupled to each of the first, second and third wafer transporters. The process station includes a plurality of wafer processing modules. Each module has a rotatable chuck with a wafer support surface coated with a dielectric material.
In still another embodiment, a method is provided for treating a spin chuck in order to reduce transfer of contamination from the spin chuck to a wafer positioned on the spin chuck. The spin chuck is positioned in a treatment chamber. A thin film deposition process is used to coat the wafer support surface with a dielectric material.
In another embodiment, a method is provided for applying a uniform layer of material on a wafer positioned on a spin chuck. A spin chuck is provided. The spin chuck has a wafer support surface and a skirt positioned at a periphery and in a non-planar relationship to the wafer support wafer surface. The wafer is positioned on the wafer support surface. Material is delivered to the wafer while the spin chuck is spinning. A uniform layer of material is formed on the wafer.


REFERENCES:
patent: 4199650 (1980-04-01), Mirtich et al.
patent: 4451349 (1984-05-01), Yeh
patent: 4604181 (1986-08-01), Mirtich et al.
patent: 5158644 (1992-10-01), Cheung et al.
patent: 5294257 (1994-03-01), Kelly et al.
patent: 5357015 (1994-10-01), Haruvy et al.
patent: 5403459 (1995-04-01), Guo
patent: 5449411 (1995-09-01), Fukada et al.
patent: 5464499 (1995-11-01), Moslehi et al.
patent: 5503676 (1996-04-01), Shufflebotham et al.
patent: 5507874 (1996-04-01), Su et al.
patent: 5647953 (1997-07-01), Williams et al.
patent: 5660895 (1997-08-01), Lee et al.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Plasma deposition of spin chucks to reduce contamination of... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Plasma deposition of spin chucks to reduce contamination of..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Plasma deposition of spin chucks to reduce contamination of... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2508943

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.