Apparatus for modeling IC substrate noise utilizing improved...

Data processing: structural design – modeling – simulation – and em – Simulating electronic device or electrical system

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C703S002000, C438S510000

Reexamination Certificate

active

06920417

ABSTRACT:
A method for modeling a substrate, which includes obtaining vertically discretized doping profiles in the substrate to facilitate modeling. The method includes employing substrate region names and substrate cross-section names as access keys to permit accessing of the vertically discretized doping profiles. The use of the combination of region names and substrate cross-section names as unique access keys simplifies access to doping profile information for modeling purposes and yields valuable information pertaining to the presence of p-type to n-type material transitions. The information pertaining to transitions may be employed to improve substrate modeling accuracy through the inclusion of junction capacitances with the modeling process.

REFERENCES:
patent: 5238860 (1993-08-01), Sawada et al.
patent: 6103561 (2000-08-01), Seshadri et al.
patent: 6291324 (2001-09-01), Lescot et al.
Shin et al.; MOSFET drain engineering analysis for deep-submicrometer dimensions: a new approach; IEEE Trans. Elect. Dev.; pp. 1922-1927; Aug. 1992.
Xavier Aragones, “A Contribution to the Study of Substrate Coupling in Mixed-Signal Integrated Circuits”, Universitat Politecnica de Catalunya, Oct. 1997.
Francois Clement, “Computer Aided Analysis of Parasitic Substrate Coupling in Mixed Digital-Analog Cmos Integrated Circuits”, Ecole Polytechnique Federale de Lausanne, 1996.
Tallis Blalack, “Switching Noise in Mixed-Signal Integrated Circuits”, Department of Electrical Engineering, Stanford University, Dec. 1997.
Tallis Blalack, Jack Lau, François J.R. Clément, and Bruce A. Wooley, “Experimental Results and Modeling of Noise Coupling in a Lightly Doped Substrate”, 0-7803-3393-4, © 1996 IEEE, IEDM 96-623, pp. 23.3.1-23.3.4.
Alan Pun et al., “Experimental Results and Simulation of Substrate Noise Coupling via Planar Spiral Inductor in RF ICs”, Dept. of IEEE, The Hong Kong University of Science and Technology, Swiss Federal Institute of Technology and Hewlett-Packard Laboratory, 1997.
Martin Pfost et al., “Modeling Substrate Effects in the Design of High-Speed Si-Bipolar IC's”, IEEE Journal of Solid-State Circuits, vol. 31, No. 10, Oct. 1996.
Sujoy Mitra et al., “A Methodology for Rapid Estimation of Substrate-Coupled Switching Noise”, IEEE 1995 Custom Integrated Circuits Conference, 1995.
Nishath K. Verghese et al., “Fast Parasitic Extraction for Substrate Coupling in Mixed-Signal ICs”, IEEE 1995 Custom Integrated Circuits Conference, 1995.
R. Gharpurey et al., “Modeling and Analysis of Substrate Coupling in Integrated Circuits”, IEEE 1995 Custom Integrated Circuits Conference, 1995.
Balsha R. Stanisic et al., Addressing Substrate Coupling in Mixed-Mode IC's: Simulation and Power Distribution Synthesis, IEEE Journal of Solid-State Circuits, vol. 29, No. 3, Mar. 1994.
Kuntal Joardar, “A Simple Approach to Modeling Cross-Talk in Integrated Circuits”, IEEE Journal of Solid-State Circuits, vol. 29, No. 10, Oct. 1994.
Thomas A. Johnson et al., “Chip Substrate Resistance Modeling Technique for Integrated Circuit Design”, IEEE Transactions on Computer-Aided Design, vol. CAD-3, No. 2, Apr. 1984.
T.A. Johnson et al., “Chip Substrate Resistance Modeling Technique for Integrated Circuit Design”, IEEE, 1983.
Ivan L. Wemple et al., “Mixed-Signal Switching Noise Analysis Using Voronoi-Tessellated Substrate Macromodels”, 32ndDesign Automation Conference, 1995.
R. Singh et al., “A Practical Approach to Modeling Substrate Coupling in Realistically-Large Mixed-Signal Designs”, Department of Electrical and Electronic Engineering, University of Newcastle-upon-Tyne.
Drago Strle, “Crosstalk in Mixed Signal Integrated Circuits: Problems and Solutions”, University of Ljubjana.
Tallis Blalack et al., “The Effects of Switching Noise on an Oversampling A/D Converter”, 1995 IEEE International Solid-State Circuits Conference, 1995.
David K. Su et al., “Experimental Results and Modeling Techniques for Substrates Noise in Mixed-Signal Integrated Circuits”, IEEE Journal of Solid-State Circuits, vol. 28, No. 4, Apr. 1993.
Ranjit Gharpurey et al., “Modeling and Analysis of Substrate Coupling in Integrated Circuits”, IEEE Journal of Solid-State Circuits, vol. 31, No. 3, Mar. 1996.
Nishath K. Verghese et al., “Verification Techniques for Substrate Coupling and Their Application to Mixed-Signal IC Design”, IEEE Journal of Solid-State Circuits, vol. 31, No. 3, Mar. 1996.
T. Smedes et al., “Layout Extraction of 3D Models for Interconnect and Substrate Parasitics”, ESSDERC'95 25thEuropean Solid State Device Research Conference, The Hague, Sep. 1995.
J.P. Raskin et al., “Coupling Effects in High-Resistivity Simox Substrates for VHF and Microwave Applications”, Proceedings 1995 IEEE International SOI Conference, Oct. 1995.
R.B. Merrill et al., “Effect of Substrate Material on Crosstalk in Mixed Analog/Digital Integrated Circuits”, IEEE, 1994.
A. Viviani et al., “Extended Study of Crosstalk in SOI-SIMOX Substrates”, IEEE Universite Catholique de Louvain, 1995.
King H. Kwan et al., “Simulation and Analysis of Substrate Coupling in Realistically-Large Mixed-A/D Circuits”, IEEE Symposium on VLSI circuits Digest of Technical Papers, 1996.
Jean-Pierre Raskin et al., “Substrate Crosstalk Reduction Using SOI Technology”, IEEE Transactions on Electron Devices, vol. 44, No. 12, Dec. 1997.
Nishath K. Verghese et al., “Computer-Aided Design Considerations for Mixed-Signal Coupling in RF Integrated Circuits”, IEEE Journal of Solid-State Circuits, vol. 33, No. 3, Mar. 1998.
Ranjit Gharpurey et al., “Transsform Domain Techniques for Efficient Extraction of Substrate Parasitics”, IEEE DSPSR&D Center, Texas Instruments Inc., 1997.
W. Liu et al., “R.F. MOSFET Modeling Accounting for Distributed Substrate and Channel Resistances with Emphasis on the BSIM3v3 SPICE Model”, IEEE, 1997.
Francois J.R. Clement, IC Substrate Noise Modeling With Improved Surface Gridding Technique, U.S. Appl. No. 09/495,078, filed Jan. 31, 2000, 57 pages.
Francois J.R. Clement, IC Substrate Noise Modeling, U.S. Appl. No. 09/262,735, filed Mar. 4, 1999, 54 pages.
Jean-Michel Richer, IC Substrate Noise Modeling Including Extracted Capacitance For Improved Accuracy, U.S. Appl. No. 09/536,256, filed Mar. 27, 2000, 89 pages.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Apparatus for modeling IC substrate noise utilizing improved... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Apparatus for modeling IC substrate noise utilizing improved..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Apparatus for modeling IC substrate noise utilizing improved... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3425231

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.