Intelligent photomask disposition

Image analysis – Applications – Manufacturing or product inspection

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C382S147000, C382S149000, C356S237100, C356S237200, C356S237400, C356S394000, C430S005000, C430S022000, C430S030000

Reexamination Certificate

active

06526164

ABSTRACT:

FIELD OF THE INVENTION
This invention relates, generally, to a photomask inspection process, and more particularly, to a method of determining the impact of a defect of a certain size and location on the photomask, as well as the impact of combining the defect with the critical dimension error using standard inspection tools.
BACKGROUND OF THE INVENTION
In a typical mask making process, all defects that are found on the photomask during the inspection process must be repaired. For a given defect type, most specifications are typically based on size. Accordingly, inspection tools are tuned to only finding defects that are larger than a specified minimum defect size. It is known in the art that not all defects cause problems during the lithography process. A defect on a photomask is deemed harmful only if the image of the defect is transferred to the wafer during the lithographic printing process. If the defect is not imaged onto the wafer, then the mask maker may not be required to repair the defect altogether, thereby saving time and money. Moreover, if the defect is imaged onto the wafer in a way that it does not adversely effect the performance of the wafer, then this defect is preferably left untouched.
The process of determining whether or not the mask manufacturer can ship a photomask to a customer (or “dispose of” the mask) is often referred to as the disposition of the photomask. This disposition is based on whether or not the mask is complete and meets its specifications. Likewise, when the inspection sector of a mask manufacturer decides whether or not a defect can be passed as acceptable (or “disposed of”) this process is often referred to as the disposition of the defect. Furthermore, the inspection sector may summarize the decisions made for each individual defect as the disposition of the photomask for that sector. It is this act of the inspection sector deciding whether or not the mask must first be sent for repair before proceeding with the mask making process that we will refer to as the disposition of the mask.
The difficulty in classifying defects as described is that the mask maker is not typically provided with a good methodology for determining what defects will adversely affect the wafer. Defects that are close to a critical feature may have an impact on the feature depending on several factors that include: feature type, proximity of the defect to the feature, and the size, shape, phase and transmission of the defect. These effects are further complicated when enhancement techniques, such as phase-shifting masks and optical proximity correction, are utilized. Since defects are traditionally classified by type (e.g., a hole in the chrome) as well as by their size (relative to the critical feature size on the mask), current defect specifications are becoming increasingly difficult to be met, as the size of the critical features on the mask continues to shrink to below 1.0 &mgr;m. This difficulty will likely drive the need for new and more expensive inspection and repair tools, and will lower the achievable yields on advanced photomasks. The end result of the upgrade in tooling translates into an increased cost per mask.
Since photomask inspection tools typically use an optical system that is significantly different than the lithography exposure system, the inspection tool cannot in general predict the effect of the defect on the image transferred to the wafer. It is believed, however, that the inspection systems can find most defects that negatively impact the wafer performance along with those that do not. Since repairs are expensive, certain categories of defects cannot be repaired and some repairs may end up making the defect worse, it is desirable to concentrate on those defects that ultimately will cause problems on the wafer.
To understand the methodology of the invention, one must first have a basic understanding of the “process window” for lithographically printing a feature. The process window for a given feature is the amount of variation in the process that can be tolerated while still maintaining critical aspects of that feature within accepted tolerances from their desired values. In lithography, the process window is normally stated by the amount of focus and exposure dose variation that can be tolerated while maintaining feature sizes and critical dimensions (CD) within a given tolerance of their nominal values.
Process windows are typically found by either taking CD measurements on wafers that have been exposed at various focus and exposure conditions, a focus-exposure matrix (FEM), or by computing the CD from through-focus intensity profiles. In the latter case, the exposure dose can effectively be varied by changing the intensity value at which the CD is measured. These intensity profiles are typically generated either by simulation or by recording through an aerial image measurement system (AIMS) that emulates the lithography exposure conditions. AIMS typically consists of a microscope that has a numerical aperture and illumination conditions that emulate the lithography exposure conditions. This system records the aerial image, or the image of the photomask that is projected onto the photoresist by the lithography exposure tool.
Although, the impact of a photomask defect is generally proportional to its area (i.e., size), it is not obvious how the impact of the defect is affected by its location relative to critical features and by other errors that may be present on the critical features, such as CD errors. The pitch of the surrounding features can also affect the printability of a mask error. Because of these unknowns, there is currently no method being practiced to accurately determine the printability of general mask errors. Typically, mask makers attempt to determine the most detrimental conditions and base defect specs on these conditions. Depending on how the specification is set, this may result in many defects being repaired unnecessarily and the possibility of combinations of “in-spec” errors printing out of spec on the wafer.
The two problems previously mentioned: 1) determining the impact of a defect of a certain size and location on the photomask using standard inspection tools, and 2) determining the impact of combining the defect with the critical dimension error using standard inspection tools, were partially addressed in U.S. Pat. application Ser. No. 08/950,620, herein incorporated by is reference, wherein a method for assigning an Equivalent Mask-CD Error (EME) to a defect was described. This equivalent error was found to be directly related to the mask CD uniformity specification, so that defects with an EME larger than the mask CD spec would be repaired and defects with an EME smaller than the mask CD specification would not. Although this method accurately accounts for the multitude of factors that influence the defect printability, it has the drawback of requiring that the aerial image of the defect be found. From a manufacturing perspective, it is desirable to dispose of defects based on parameters that are easily measured on an inspection tool and, currently, inspection tools do not have aerial image capabilities. The defect must, therefore, be measured on AIMS the Aerial Image Measurement System.
FIG. 1
a
shows the intensity profile through a bright-field isolated line taken at five focus conditions. From this figure, the dimension of the line that prints in the photoresist can be found by drawing a line across the intensity plots at a constant intensity level, (e.g., line 101). Assuming that the photoresist has a threshold response to the light intensity impinging upon it, the printed dimension can be determined by finding the intersections of the intensity curve for a given focus and the constant intensity line, such as points 102 and 103 for the 0.0 &mgr;m defocus line, and points 104 and 105 for the 0.5 &mgr;m defocus line.
In all the regions where the intensity level exceeds the threshold level, for a positive tone photoresist, the photoresist undergoes a photochemical reaction that allows it to be remov

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Intelligent photomask disposition does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Intelligent photomask disposition, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Intelligent photomask disposition will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3174641

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.