Attenuated phase shift mask and a method for making the mask

Radiation imagery chemistry: process – composition – or product th – Radiation modifying product or process of making – Radiation mask

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

06309780

ABSTRACT:

FIELD OF INVENTION
This invention relates generally to an attenuated phase-shift mask, and more particularly, to an attenuated phase shift mask comprising one or more alternating layers of metal nitrides and silicon nitride suitable for use in lithography at or below 0.20 &mgr;m for wavelengths below 300 nm.
BACKGROUND OF THE INVENTION
Lithography is a process for producing a pattern on a semiconductor wafer. The pattern is produced by first exposing a pattern etched into a mask onto a semiconductor wafer coated with a resist material. The projected image of the pattern changes the composition of the resist material on the semiconductor wafer which is then removed to leave a matching pattern on the semiconductor wafer for further processing.
Typically, the masks used in lithography each include a substrate which is coated with a film. Depending upon the particular lithography application, the mask needs to satisfy several different requirements. The challenge is in finding a material or materials for use as the film which will satisfy these requirements.
For lithography at or below 0.20 &mgr;m and for use at wavelengths at or below 300 nm, an attenuated phase shift mask must have certain optical properties. These optical properties include a transmission between about 1% and 90%, an appropriate phase shift, e.g. a phase shift of about 180 degrees, a refractive index of between about 1.5 and 30, an extinction coefficient between about 0.1 and 1.0 and reflectivity below about 20 percent.
Additionally, the attenuated phase shift mask must have suitable plasma etch characteristics with selectivity to the underlying substrate and to the resist material. In other words, the film on the substrate of the attenuated phase shift mask must be made of a material or materials which can be etched to form the pattern to be replicated on semiconductor wafers without significant loss to the underlying substrate or to the resist material.
Since the intended application of the attenuated phase shift mask is for use with energetic ultra violet (UV) radiation from an excimer laser, the film on the substrate of the attenuated phase shift mask must be able to withstand both short and long term exposure to the UV radiation without significant optical degradation. Typically, damage to the film from exposure to radiation from the excimer laser can be shown to correlate with spatial and temporal peak power density as well as maximum power density and total energy density. Since single laser pulse energy levels from the excimer laser for lithography at or below 0.20 &mgr;m are typically low, damage to the attenuated phase shift mask generally results from total energy density from the excimer laser. Damage from long term or cumulative exposure can result from thermo-chemicals effects, the migration of defects, damage to microscopic defects, or surface particle formation. This damage can detrimentally effect the optical properties of the attenuated phase shift mask. Understoichiometric films on substrates are particularly vulnerable to damage from exposure to radiation.
As yet, an appropriate material or materials for use as the film on the substrate of the attenuated phase shift mask for lithography at or below 0.20 &mgr;m and for use at wavelengths below 300 nm has not been found.
SUMMARY OF THE INVENTION
The attenuated phase shift mask in accordance with one embodiment of the present invention for use in lithography at or below 0.20 &mgr;m and for use at wavelengths below 300 nm includes a substrate with a first layer disposed on the substrate. The layer comprises a group IV, V or VI transitional metal nitride and silicon nitride Si
x
N
y
. The attenuated phase shift mask has a thickness between about 500 angstroms and 2000 angstroms, where the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
The attenuated phase shift mask in accordance with the present invention provides a number of advantages including providing a mask with either a single layer or multiple layers with appropriate optical properties for use at deep ultraviolet wavelengths, i.e. wavelengths at or below about 300 nm. More specifically, the attenuated phase shift mask provides a transmission between about 1% and 90%, an appropriate phase shift, e.g. a phase shift of about 180 degrees, and a refractive index between about 1.5 and 3.0. Even though the attenuated phase shift mask may have multiple alternating layers, the use of sub-wavelength thicknesses for the film when it is comprised of the multiple layers allows the mask to closely approach the optical properties of a mask with a homogeneous layer of material.
The attenuated phase shift mask also provides suitable etch rates and selectivity. The single layer or alternating layers of Si
x
N
y
and a group IV, V or VI transitional metal nitride on the substrate can be etched using techniques, such as plasma reactive ion etching, without significant loss of the underlying substrate or the resist material.
Further, the attenuated phase shift mask is able to withstand both the short and long term effects of exposure to radiation from and excimer laser at or below 300 nm without significant optical degradation. When exposed to prolonged radiation, the attenuated phase shift mask in accordance with the present invention experiences a phase shift change of only about +/−one degree or less, a transmission modification of only about 0.5 percent or less, and a change in refractive index of only about 0.6 percent or less. The stoichiometric nature of the single layer or alternating layers of Si
x
N
y
and a metal nitride on the substrate, makes the attenuated phase shift mask inherently less prone to damage.


REFERENCES:
patent: 6087047 (2000-07-01), Mitsui et al.
Website http://www.src.org/Semiconductor Research Corporation (“SRC”) Publications: Z98209 Abstract for Optical Properties of Thin Films to 190 nm, L. Zavyalova, B. W. Smith, A. Bourov, and Fonseca, Rochester Institute of Technology, Jun. 30, 1998, 4 pp., (Pub Z98209): Task 403.002.
L. Zavyalova et al., “Optical Properties of Thin Films to 190 nm,”Semiconductor Research Corporation(“SRC”)Publications: Z98209 Abstract, pp. 1-4 (1998).
D. Lide, Ph.D., “The Elements”,CRC Handbook of Chemistry and Physics, 71stEdition, pp. 4-3504-37 (1990).
J. W. Lutze et al., “Anisotripic Reactive Ion Etching of Aluminum Using C12, BCI3, and CH4Gases”,J. Electronchem. Soc., vol. 137, No. 1, pp. 249-252 (1990).
P. Panjan et al., “Optical Properties of Nitride Coatings Deposited At Low Substrate Temperatures”,Vacuum, vol. 40, pp. 161-164 (1990).
C. Pierrat et al., “Dry Etched Molybdenum Silicide Photomasks For Submicron Integrated Circuit Fabrication”,J. Vac. Sci. Technol. B., vol. 9, No. 6, pp. 3132-3137 (1991).
D. Mixon et al., “Patterning Of X-Ray Masks Using The Negative-Acting Resist P (SI-CMS)”,J. Vac. Sci. Technol. B., vol. 11, No. 6, pp. 2834-2838 (1993).
A. Callegari et al., “Optical Properties Of Hydrogenated Amorphous-Carbon Film For Attenuated Phase-Shift Mask Applications”,J. Vac. Sci. Tehnol. B, vol. 11, No. 6, pp. 2697-2699 (1993).
R. Kostelak et al., “Imaging Characterics of Attenuated Phase Shifting Masks”,Proc. Of the OCG Interface Conference, pp. 125-144 (1993).
S. Ito et al., “Optimization of Optical Properties For Single-Layer Halftone Masks”,SPIE, vol. 2197, pp. 99-110 (1994).
M. Nakajima et al., Attenuated Phase-Shifting Mask With A Single-Layer Absorptive Shifter of CrO, CrON, MoSiO and MoSiON Film,SPIE, vol. 2197, pp. 111-121 (1994).
B. W. Smith & S. Turgut, “Phase-Shift Mask Issues for 193 nm Lithography”,SPIE, vol. 2197, pp. 201-210, (1994).
P. Carcia et al., “Materials Screening For Attenuating Embedded Phase-Shift Photoblanks For DUV and 193 NM Photolithography”,SPIE, vol. 2884, pp. 255-263 (1996).
B. W. Smith et al., “Attenuated Phase Shift Mask Materials for 248 and 193 nm Lithography”,J. Vac. Sci. Technol. B., Vo. 14, No. 6, pp. 3719-3723 (1996).
S. Ito et al., “Passivate SiNxHalftone Phase Shifting Mask For Deep Ultraviolet Exposure”,J. Vac. Sci. Technol. B., vol. 1

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Attenuated phase shift mask and a method for making the mask does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Attenuated phase shift mask and a method for making the mask, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Attenuated phase shift mask and a method for making the mask will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2584175

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.