System and method for register renaming

Electrical computers and digital processing systems: processing – Dynamic instruction dependency checking – monitoring or...

Patent

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

712217, 712218, 712228, G06F 930

Patent

active

061382310

ABSTRACT:
A system and method for performing register renaming of source registers in a processor having a variable advance instruction window for storing a group of instructions to be executed by the processor, wherein a new instruction is added to the variable advance instruction window when a location becomes available. A tag is assigned to each instruction in the variable advance instruction window. The tag of each instruction to leave the window is assigned to the next new instruction to be added to it. The results of instructions executed by the processor are stored in a temp buffer according to their corresponding tags to avoid output and anti-dependencies. The temp buffer therefore permits the processor to execute instructions out of order and in parallel. Data dependency checks for input dependencies are performed only for each new instruction added to the variable advance instruction window and register renaming is performed to avoid input dependencies.

REFERENCES:
patent: 4626989 (1986-12-01), Torii
patent: 4675806 (1987-06-01), Uchida
patent: 4722049 (1988-01-01), Lahti
patent: 4807115 (1989-02-01), Torng
patent: 4901233 (1990-02-01), Liptay
patent: 4903196 (1990-02-01), Pomerene et al.
patent: 4942525 (1990-07-01), Shintani et al.
patent: 4992938 (1991-02-01), Cocke et al.
patent: 5067069 (1991-11-01), Fite et al.
patent: 5072364 (1991-12-01), Jardine et al.
patent: 5109495 (1992-04-01), Fite et al.
patent: 5142633 (1992-08-01), Murray et al.
patent: 5167026 (1992-11-01), Murray et al.
patent: 5214763 (1993-05-01), Blaner et al.
patent: 5222244 (1993-06-01), Carbine et al.
patent: 5226126 (1993-07-01), McFarland et al.
patent: 5230068 (1993-07-01), Van Dyke et al.
patent: 5251306 (1993-10-01), Tran
patent: 5255384 (1993-10-01), Sachs et al.
patent: 5261071 (1993-11-01), Lyon
patent: 5278963 (1994-01-01), Hattersley et al.
patent: 5317720 (1994-05-01), Stamm et al.
patent: 5345569 (1994-09-01), Tran
patent: 5355457 (1994-10-01), Shebanow et al.
patent: 5371684 (1994-12-01), Iadonato et al.
patent: 5398330 (1995-03-01), Johnson
patent: 5442757 (1995-08-01), McFarland et al.
patent: 5448705 (1995-09-01), Nguyen et al.
patent: 5487156 (1996-01-01), Popescu et al.
patent: 5497499 (1996-03-01), Garg et al.
patent: 5524225 (1996-06-01), Kranich
patent: 5560032 (1996-09-01), Nguyen et al.
patent: 5561776 (1996-10-01), Popescu et al.
patent: 5574927 (1996-11-01), Scantlin
patent: 5590295 (1996-12-01), Deosoran et al.
patent: 5592636 (1997-01-01), Popescu et al.
patent: 5606676 (1997-02-01), Grochowski et al.
patent: 5619668 (1997-04-01), Zaidi
patent: 5625837 (1997-04-01), Popescu et al.
patent: 5627983 (1997-05-01), Popescu et al.
patent: 5708841 (1998-01-01), Popescu et al.
patent: 5737624 (1998-04-01), Garg et al.
patent: 5768575 (1998-06-01), McFarland et al.
patent: 5778210 (1998-07-01), Henstrom et al.
patent: 5797025 (1998-08-01), Popescu et al.
patent: 5809276 (1998-09-01), Deosaran et al.
patent: 5832205 (1998-11-01), Kelly et al.
patent: 5832293 (1998-11-01), Popescu et al.
Smith et al., "Implementation of Precise Interrupts in Pipelined Processors," Proceedings of the 12th Annual International Sympsium on Computer Architecture, Jun. 1985, pp. 36-44.
Wedig, R.G., Detection of Concurrency In Directly Executed Language Instruction Streams, (Dissertation), Jun. 1982, pp. 1-179.
Agerwala et al., "High Performance Reduced Instruction Set Processors," IBM Research Division, Mar. 31, 1987, pp. 1-61.
Gross et al., "Optimizing Delayed Branches," Proceedings of the 5th Annual Workshop on Microprogramming, Oct. 5-7, 1982, pp. 114-120.
Tjaden et al., "Representation of Concurrency with Ordering Matrices," IEEE Trans. On Computers, vol. C-22, No. 8, Aug. 1973, pp. 752-761.
Tjaden, Representation and Detection of Concurrency Using Ordering Matrices, (Dissertation), 1972, pp. 1-199.
Foster et al., "Percolation of Code to Enhance Parallel Dispatching and Execution," IEEE Trans. On Computers, Dec. 1971, pp. 1411-1415.
Thornton, J.E., Design of a Computer: The Control Data 6600, Control Data Corporation, 1970, pp. 58-140.
Weiss et al., "Instruction Issue Logic in Pipelined Supercomputers," Reprinted from IEEE Trans. on Computers, vol. C-33, No. 11, Nov. 1984, pp. 1013-1022.
Tomasulo, R.M., "An Efficient Algorithm for Exploiting Multiple Arithmetic Units," IBM Journal, vol. 11, Jan. 1967, pp. 25-33.
Tjaden et al., "Detection and Parallel Execution of Independent Instructions," IEEE Trans. On Computers, vol. C-19, No. 10, Oct. 1970, pp. 889-895.
Smith et al., "Limits on Multiple Instruction Issue," Proceedings of the 3rd International Conference on Architectural Support for Programming Languages and Operating Systems, Apr. 1989, pp. 290-302.
Pleszkun et al., "The Performance Potential of Multiple Functional Unit Processors," Proceedings of the 15th Annual Symposium on Computer Architecture, Jun. 1988, pp. 37-44.
Pleszkun et al., "WISQ: A Restartable Architecture Using Queues," Proceedings of the 14th International Symposium on Computer Architecture, Jun. 1987, pp. 290-299.
Patt et al., "Critical Issues Regarding HPS, A High Performance Microarchitecture," Proceedings of the 18th Annual Workshop on Microprogramming, Dec. 1985, pp. 109-116.
Hwu et al., "Checkpoint Repair for High-Peformance Out-of-Order Execution Machines," IEEE Trans. On Computers, vol. C-36, No. 12, Dec. 1987, pp. 1496-1514.
Patt et al., "HPS, A New Microarchitecture: Rationale and Introduction," Proceedings of the 18th Annual Workshop on Microprogramming, Dec. 1985, pp. 103-108.
Keller, R.M., "Look-Ahead Processors," Computing Surveys, vol. 7, No. 4, Dec. 1975, pp. 177-195.
Jouppi et al., "Available Instruction-Level Parallelism for Superscalar and Superpipelined Machines," Proceedings of the 3rd International Conference on Architectural Support for Programming Languages and Operating Systems, Apr. 1989, pp. 272-282.
Hwu et al., "HPSm, a High Performance Restricted Data Flow Architecture Having Minimal Functionality," Proceedings from ISCA-13, Tokyo, Japan, Jun. 2-5, 1986, pp. 297-306.
Hwu et al., "Exploiting Parallel Microprocessor Microarchitectures with a Compiler Code Generator," Proceedings of the 15th Annual Symposium on Computer Architecture, Jun. 1988, pp. 45-53.
Colwell et al., "A VLIW Architecture for a Trace Scheduling Compiler," Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems, Oct. 1987, pp. 180-192.
Uht, A.K., "An Efficient Hardware Algorithm to Extract Concurrency From General-Purpose Code," Proceedings of the 19th Annual Hawaii International Conference on System Sciences, 1986, pp. 41-50.
Charlesworth, A.E., "An Approach to Scientific Array Processing: The Architectural Design of the AP-120B/FPS-164 Family," Computer, vol. 14, Sep. 1981, pp. 18-27.
Acosta, Ramon D. et al., "An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors," IEEE Transactions On Computers, vol. C-35, No. 9, Sep. 1986, pp. 815-828.
Johnson, William M., Super-Scalar Processor Design, (Dissertation), Copyright 1989, 134 pages.
Sohi, Gurindar S. and Sriram Vajapeyam, "Instruction Issue Logic For High-Performance, Interruptable Pipelined Processors," Conference Proceedings of the 14.sup.th Annual International Symposium on Computer Architecture, Jun. 2-5, 1987, pp. 27-34.
Lightner et al. "The Metaflow Architecture," p. 11, 12, 63, 64, 67, and 68, Jun. 1991, IEEE Micro Magazine.
Keller, R., "Look-Ahead Processors", Computing Surveys, vol. 7, No. 4, Dec. 1975.
Critical Issues Regarding HPS, A High Performance Microarchitecture, Yale N. Patt, Stephen W. Melvin, Wen-Mei Hwu and Michael C. Shebanow; The 18th Annual Workshop on Microprogramming, Pacific Grove, California, Dec. 3-6, 1985, IEEE Computer Order No. 653, pp. 109-116.
HPS, A New Microarchitecture: Rationale and Introduction, Yale N. Patt, Wen-Mei Hwu and Michael Shebanow; The 18th Annual Workshop on Microprocessing, Pacific Grove, California, Dec. 3-6, 1985, IEEE Computer Society Order No. 653, pp. 103-108.
Johnson, Mike, Superscalar Microprocessor Design, "Chapter 5--The Rol

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

System and method for register renaming does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with System and method for register renaming, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and System and method for register renaming will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-1975933

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.