Virtual architectures in a parallel processing environment

Electrical computers and digital processing systems: processing – Processing architecture – Array processor

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C703S026000

Reexamination Certificate

active

08046563

ABSTRACT:
An integrated circuit includes a plurality of processor core. Processing instructions in the integrated circuit includes: managing a plurality of sets of processor cores, each set including one or more processor cores assigned to a function associated with executing instructions; and reconfiguring the number of processor cores assigned to at least one of the sets during execution based on characteristics associated with executing the instructions.

REFERENCES:
patent: 5404550 (1995-04-01), Horst
patent: 5574873 (1996-11-01), Davidian
patent: 5710938 (1998-01-01), Dahl et al.
patent: 5900022 (1999-05-01), Kranich
patent: 5930495 (1999-07-01), Christopher et al.
patent: 6058466 (2000-05-01), Panwar et al.
patent: 6101599 (2000-08-01), Wright et al.
patent: 6430670 (2002-08-01), Bryg et al.
patent: 6480952 (2002-11-01), Gorishek et al.
patent: 6587938 (2003-07-01), Eilert et al.
patent: 6606704 (2003-08-01), Adiletta et al.
patent: 6633916 (2003-10-01), Kauffman
patent: 6704925 (2004-03-01), Bugnion
patent: 6751583 (2004-06-01), Clarke et al.
patent: 6799263 (2004-09-01), Morris et al.
patent: 6820255 (2004-11-01), Babaian et al.
patent: 7140020 (2006-11-01), McCarthy et al.
patent: 7394288 (2008-07-01), Agarwal
patent: 7461236 (2008-12-01), Wentzlaff
patent: 7739434 (2010-06-01), Farrell et al.
patent: 2002/0029308 (2002-03-01), Babaian et al.
patent: 2002/0065992 (2002-05-01), Chauvel et al.
patent: 2002/0092002 (2002-07-01), Babaian et al.
patent: 2003/0200418 (2003-10-01), DeHon et al.
patent: 2004/0015888 (2004-01-01), Fujii et al.
patent: 2004/0024953 (2004-02-01), Babaian et al.
patent: 2004/0044880 (2004-03-01), Altman et al.
patent: 2005/0235270 (2005-10-01), Sanyal
patent: 2006/0184766 (2006-08-01), Pires Dos Reis Moreira
patent: 2008/0126755 (2008-05-01), Wu et al.
patent: WO 2004/072796 (2004-08-01), None
Intel IXP1200 Network Processor Family Hardware Reference Manual. Intel Corporation, 2001.
Agarwal, Anant. “Raw Computation,”Scientific Americanvol. 281, No. 2: 44-47, Aug. 1999.
Taylor, Michael Bedford et. al., “Evaluation of the Raw Microprocessor: An Exposed-Wire-Delay Architecture for ILP and Streams,”Proceedings of International Symposium on Computer Architecture, Jun. 2004.
Taylor, Michael Bedford et. al., “Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architectures,”Proceedings of the International Symposium on High Performance Computer Architecture, Feb. 2003.
Taylor, Michael Bedford et. al., “A 16-Issue Multiple-Program-Counter Microprocessor with Point-to-Point Scalar Operand Network,”Proceedings of the IEEE International Solid-State Circuits Conference, Feb. 2003.
Taylor, Michael Bedford et. al., “The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs,”IEEE Micro, pp. 25-35, Mar.-Apr. 2002.
Lee, Walter et. al., “Space-Time Scheduling of Instruction-Level Parallelism on a Raw Machine,”Proceedings of the Eighth International Conference on Architectural Support for Programming Languages and Operating Systems(ASPLOS-VIII), San Jose, CA, Oct. 4-7, 1998.
Kim, Jason Sungtae et. al., “Energy Characterization of a Tiled Architecture Processor with On-Chip Networks,”International Symposium on Low Power Electronics and Design, Seoul, Korea, Aug. 25-27, 2003.
Barua, Rajeev et. al., “Compiler Support for Scalable and Efficient Memory Systems,”IEEE Transactions on Computers, Nov. 2001.
Waingold, Elliot et. al., “Baring it all to Software: Raw Machines,”IEEE Computer, pp. 86-93, Sep. 1997.
Lee, Walter et. al., “Convergent Scheduling,”Proceedings of the 35thInternational Symposium on Microarchitecture, Istanbul, Turkey, Nov. 2002.
Wentzlaff, David and Anant Agarwal, “A Quantitative Comparison of Reconfigurable, Tiled, and Conventional Architectures on Bit-Level Computation,”MIT/LCS Technical Report LCS-TR-944, Apr. 2004.
Suh, Jinwoo et. al., “A Performance Analysis of PIM, Stream Processing , and Tiled Processing on Memory-Intensive Signal Processing Kernels,”Proceedings of the International Symposium on Computer Architecture, Jun. 2003.
Barua, Rajeev et. al., “Maps: A Compiler-Managed Memory System for Raw Machines,”Proceedings of the Twenty-Sixth International Symposium on Computer Architecture(ISCA-26), Atlanta, GA, Jun. 1999.
Barua, Rajeev et. al., “Memory Bank Disambiguation using Modulo Unrolling for Raw Machines,”Proceedings of the Fifth International Conference on High Performance Computing, Chennai, India, Dec. 17-20, 1998.
Agarwal, A. et. al., “The Raw Compiler Project,”Proceedings of the Second SUIF Compiler Workshop, Stanford, CA, Aug. 21-23, 1997.
Taylor, Michael Bedford et. al., “Scalar Operand Networks,”IEEE Transactions on Parallel and Distributed Systems(Special Issue on On-Chip Networks), Feb. 2005.
Taylor, Michael. The Raw Prototype Design Document V5.01 [online]. Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Sep. 6, 2004 [retrieved on Sep. 25, 2006]. Retrieved from the Internet: <ftp://ftp.cag.lcs.mit.edu/pub/raw/documents/RawSpec99.pdf>.
Moritz, Csaba Andras et. al., “Hot Pages: Software Caching for Raw Microprocessors,”MIT/LCS Technical Memo LCS-TM-599, Aug. 1999.
K. Ebcioglu and E. R. Altman, “DAISY: Dynamic compilation for 100% architectural compatibility,” inProceedings of the International Symposium on Computer Architecture, Jun. 1997, pp. 26-37.
M. Gschwind, M. et al., “Dynamic and Transparent Binary Translation.”IEEE Computer, vol. 33, No. 3, pp. 54-59, Mar. 2000.
Desoli, G. et al., “DELI: A New Run-Time Control Point.” inProceedings of the International Symposium on Microarchitecture, 2002.
Hauck, S. et al., “The Chimaera Reconfigurable Functional Unit.” inProceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, Apr. 1997, pp. 87-96.
Goldstein, S.C. et al., “PipeRench: A Coprocessor for Streaming Multimedia Acceleration.” inProceedings of the International Symposium on Computer Architecture, 1999, pp. 28-39.
Bolotski, M. et al., “Unifying FPGAs and SIMD Arrays.” inProceedings of the International Workshop on Field-Programmable Gate Arrays, Feb. 1994, MIT Transit Note No. 95.
Callahan, T. J. et al., “The Garp Architecture and C Compiler.”IEEE Computer, vol. 33, No. 4, pp. 62-69, Apr. 2000.
Razdan, R. and M. D. Smith, “A High-Performance Microarchitecture with Hardware-Programmable Functional Units.” inProceedings of the International Symposium on Microarchitecture, Nov. 1994, pp. 172-180.
Dehnert, J.C. et al., “The Transmeta Code Morphing Software: Using Speculation, Recovery, and Adaptive Retranslation to Address Real-Life Challenges.” inProceedings of the International Symposium on Code Generation and Optimization, Mar. 2003, pp. 15-24.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Virtual architectures in a parallel processing environment does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Virtual architectures in a parallel processing environment, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Virtual architectures in a parallel processing environment will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4287917

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.