Vectorization in a SIMdD DSP architecture

Data processing: software development – installation – and managem – Software program development tool – Translation of code

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C717S140000, C717S149000, C717S160000, C712S010000, C712S022000, C712S009000

Reexamination Certificate

active

10695970

ABSTRACT:
A method for determining vectorization configurations in a computer processor architecture, the method including identifying a vectorizable loop in a computer program, identifying a memory access pattern of data required for implementing the loop in the architecture, computing a set of candidate configurations of resources required for vectorizing the data in the architecture, where the computing step includes configuring a vector pointer register of the architecture in support of either of reorder-on-read use and reorder-on-write use of a vector element file of the architecture, selecting one of the candidates in accordance with predefined selection criteria, and implementing the selected vectorization configuration in the architecture.

REFERENCES:
patent: 6446105 (2002-09-01), Washio et al.
patent: 6625720 (2003-09-01), Ansari
patent: 6665790 (2003-12-01), Glossner et al.
patent: 6675374 (2004-01-01), Pieper et al.
patent: 6915411 (2005-07-01), Moreno et al.
patent: 7017028 (2006-03-01), Ben-David et al.
patent: 7130985 (2006-10-01), Barlow et al.
patent: 2003/0167387 (2003-09-01), Ansari
patent: 2004/0006667 (2004-01-01), Bik et al.
patent: 2004/0078554 (2004-04-01), Glossner et al.
patent: 2005/0055536 (2005-03-01), Ansari
Annavaran, Murali; Patel, Jignesh M.; Davidson, Edward S.; “Data Prefetching by Dependence Graph Precomputation”, p. 52-61, 2001 IEEE, retrieved Jan. 23, 2007.
Corbal, Jesus; Espasa, Roger; Valers, Mateo; “Three Dimensional Memory Vectorization for High Bandwidth Media Memory Systems”, p. 149-160, 2002 IEEE, retrieved Jan. 23, 2007.
Lorenz, Markus; Wehemeyer, Lars; Drager, Thorsten; “Energy aware Compilation for DSPs with SIMD Instructions”, p. 94-101, 2002 ACM, retrieved Jan. 23, 2007.
Torrellas, Josph; Xia, Chun; Daigle, Russell L.; “Optimizing the Instruction Cache Performance of the Operating System”, 1998 IEEE, retrieved Jan. 23, 2007.
Preeti Ranian Panda, Nikil D. Dutt, and Alexandru Nicolau. “Efficient utilization of sratch-pad memory in embedded processor applications”, in European Design and Test Conf., Mar. 1997.
Keith D. Cooper, Timothy J. Harvey. “Compiler controlled Memory”, Eighth Int. Conf. on Architectural Support for Programming Languages and Operating Systems, pp. 100-104, Oct. 1998.
Masaaki Kondo, Hideki Okawara, Hiroshi Nakamaru, Taisuke Boku. “SCIMA: Software Controlled Integrated Memory Architecture for High-Performance Computing”, http://citeseer.nj.nec.com/376639.html, 2000.
William Y. Chen, Roger Bringmann, Scott A. Mahlke, Richard E. Hank, James E. Sicolo. “An Efficient Architecture for Loop Based Data Preloading”, 25th Annual International Symposium on Microarchitecture (1992) http://citeseer.nj.nec.com/chen92efficient.html.
Matthew A. Postiff, Trevor Mudge. “Smart Register Files for High Performance Microprocessors”. http://citeseer.nj.nec.com/postiff99smart.html, 1999.
Matthew A. Postiff, David Greene, Steve Raasch, Trevor Mudge. “Integrating Superscalar Processor Components to Implement Register Caching”. http://citeseer.nj.nec.com/468226.html, 2001.
Jesus Corbal, Roger Espasa, and Mateo Valero. “Exploiting a new level of DLP in multimedia applications”, in Intl. Symposium on Microarchitecture, pp. 72-79, 1999.
Huy Nguyen and Lizy Kurlan John. “Exploiting SIMD parallelism in DSP and multimedia algorithms using the AltiVec technology”, in Intl. Conf. on Supercomputing, pp. 11-20 1997.
A. J. C. Bik, M. Girkar, P. M. Grey, and X. Tian. “Efficient exploitation of parallelism on Pentium III and Pentium 4 processor-based systems”, Intel Technology J., Feb. 2001.
Andreas Krail and Sylvain Lelait. “Compilation techniques for multimedia processors”, Intl. J. of Parallel Programming, 28(4):347-361, 2000.
Samuel Larsen, Emmet Witchel, and Saman Amarasinghe. “Techniques for increasing and detecting memory alignment”, Technical Memo 621, MIT LCS, Nov. 2001.
David Callahan et al. “Improving Register Allocation for Subscripted Variables”, Proceedings of the ACM SIGPLAN '90 Conference on Programming Language Design and Implementation, White Plains NY, Jun. 20-22, 1990.
Kandemir et al., “Optimizing Inter-Nest Data Locality”, CASES 2002, Oct. 8-11, 2002, Grenoble, France.
Doshi et al., “Optimizing Software Data Prefetches with Rotating Registers”, IEEE 2001, 257-267.
Jaewook Shin et al., “Compiler-Controlled Caching in Superword Register Files for Multimedia Extension Architectures”, in Int. Conf. on Parallel Architectures and Compiler Techniques, pp. 45-55, 2002.
Keith Cooper et al., “Cross-loop Reuse Analysis and its Application to Cache Optimizations”, Lecture Notes In Computer Science; vol. 1239 archive Proceedings of the 9th International Workshop on Languages and Compilers for Parallel Computing, pp. 1-19, 1996.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Vectorization in a SIMdD DSP architecture does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Vectorization in a SIMdD DSP architecture, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Vectorization in a SIMdD DSP architecture will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3835711

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.