Two stage etching of silicon nitride to form a nitride spacer

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S585000, C438S689000, C438S704000, C438S710000, C438S744000, C438S745000, C438S757000

Reexamination Certificate

active

06794303

ABSTRACT:

BACKGROUND
1. Field of the Invention
This invention generally relates to semiconductor processing and, more particularly, to a method of etching silicon nitride disposed over silicon oxide to form nitride spacers.
2. Description of Related Art
During the manufacture of miniaturized devices, intermediate and/or final structures are often formed with combinations of patterned materials composed of oxides and nitrides of silicon disposed adjacent to one another. The oxides and nitrides may be further disposed adjacent to monocrystalline, polycrystalline, or other forms of silicon. It is often times desirable to strip away or otherwise etch the silicon nitride (“nitride”) material while not significantly etching into adjacent silicon or silicon oxide (“oxide”).
For example, transistors are generally manufactured by placing an undoped polycrystalline silicon (“polysilicon”) material over a semiconductor substrate. The polysilicon material is generally separated from the semiconductor substrate by an insulating layer, usually a relatively thin layer of oxide, such as silicon dioxide. The polysilicon material and the oxide are then patterned to form a gate arranged upon a gate oxide with source/drain regions adjacent to and on opposite sides of the gate. The gate and source/drain regions are then implanted with a dopant species. A channel region forms between the source/drain regions. The insulating layer is provided to prevent current from flowing between the gate and the source/drain regions or the channel region.
When scaling to smaller device geometries or operating transistors which have heavily doped source/drain regions arranged directly adjacent to the gate, a problem known as the hot carrier effect is encountered. Large potential gradients and/or shorter channel lengths, with resultant higher electric fields, cause the kinetic energy of the charged carriers to increase and thereby produce “hot” or energetic electrons. These electrons can become injected into and trapped within the gate oxide. Because of carrier entrapment within the gate oxide, a net negative charge density forms in the gate oxide. The trapped charge can accumulate over time, ultimately leading to device performance degradation or even, in some instances, device failure. The hot carrier effect is therefore a function of gate oxide thickness and overlap between the gate and the source/drain regions.
To overcome problems resulting from the hot carrier effect, alternative source/drain structures may be used. Alternative source/drain structures are often times formed by depositing and etching silicon nitride to create nitride spacers adjacent to the gate. The spacers will mask and align dopant and implant regions within the semiconductor substrate. Nitride is often chosen because it is a fairly stable material and is nearly impervious to diffusion, making it an excellent barrier material. Because of the effect that the length of doped regions has on circuit attributes (e.g., drive current), it is important that the thickness of these doped regions be as close to the desired values as possible. Consequently, it is desirable that there be a high degree of thickness uniformity in the formation of the spacers, which directly affect the formation of the doped regions. Accordingly, the thickness of etched spacers should vary as little as possible from desired values, with vertical profiles being preferred over sloped profiles. Spacer thickness uniformity should occur both across each spacer and from spacer to spacer.
A high degree of etch anisotropy is also desirable to produce vertical sidewalls for the nitride spacers, thereby conforming to the required critical dimension for submicron geometries from the top to the bottom of the nitride spacer. A low degree of etch anisotropy will produce undesirable sloping sidewalls, causing deviations from the required critical dimension.
Further, nitride spacers are often times formed from a nitride layer disposed over a liner oxide layer which is disposed over the gate and used as an etch stop and insulator. Because nitride etching often takes place in the presence of oxide, the etching must be selective so as to not overly remove any exposed oxide or oxide underneath the nitride layer, possibly resulting in oxide “punchthrough,” while also not leaving any nitride residue between adjacent spacer structures, possibly resulting in nitride “stringers” that can cause device degradation. Selectivity is defined by the ratio of component etch rates. Thus, nitride to oxide selectivity is defined by:
Selectivity
Nitride
/
Oxide
=
Etch



rate



of



Nitride



(

Å

/

min

)
Etch



rate



of



Oxide



(

Å

/

min

)
Accordingly, a high selectivity for etching of nitride over oxide is important for accurately controlling the etch end point and for preventing damage to the gate or silicon substrate from overetching of the liner oxide layer, which itself is becoming increasingly thin with decreasing device features.
Therefore, with circuit densities increasing, a need exists for an improved method to form nitride spacers over a thin oxide layer with good uniformity, profile, and selectivity characteristics.
SUMMARY
In accordance with one embodiment of the present invention, a method of etching silicon nitride to form spacers is provided. The method includes providing a gate structure over a substrate, providing a silicon oxide layer over the gate structure and the substrate, providing a silicon nitride layer over the silicon oxide layer, providing a first gas flow having a first ratio of fluorine atoms to carbon atoms, applying a first quantity of power to the first gas flow to form a first plasma and etching a first portion of the silicon nitride layer with the first plasma, providing a second gas flow having a second ratio of fluorine atoms to carbon atoms greater than the first ratio of the first gas, and applying a second quantity of power to the second gas flow to form a second plasma and etching a second portion of the silicon nitride with the second plasma.
In another embodiment of the present invention, a manufacturing method includes providing a gate structure over a substrate, providing a silicon oxide layer over the gate structure and the substrate, providing a silicon nitride layer over the silicon oxide layer, providing a first gas flow including a first fluorocarbon and a second fluorocarbon at a first ratio, the first fluorocarbon having a higher ratio of fluorine atoms to carbon atoms than the second fluorocarbon, applying a first quantity of power to the first gas flow to create a first plasma and etching a first portion of the silicon nitride layer with the first plasma, providing a second gas flow including the first fluorocarbon and the second fluorocarbon at a second ratio greater than the first ratio of the first gas flow, and applying a second quantity of power to the second gas flow to create a second plasma and etching a second portion of the silicon nitride layer with the second plasma.
In yet another embodiment, a method of etching silicon nitride to form spacers includes providing a gate structure over a substrate, providing a silicon oxide layer over the gate structure and the substrate, providing a silicon nitride layer over the silicon oxide layer, providing a first gas flow including a first ratio of CF
4
flow rate to CH
2
F
2
flow rate, applying a first quantity of power to the first gas flow to create a first plasma and etching a first portion of the silicon nitride layer with the first plasma at a first process pressure, providing a second gas flow including a second ratio of CF
4
flow rate to CH
2
F
2
flow rate greater than the first ratio of CF
4
flow rate to CH
2
F
2
flow rate, applying a second quantity of power to the second gas flow to create a second plasma, the second quantity of power being greater than the first quantity of power, and etching a second portion of the silicon nitride layer

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Two stage etching of silicon nitride to form a nitride spacer does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Two stage etching of silicon nitride to form a nitride spacer, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Two stage etching of silicon nitride to form a nitride spacer will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3254809

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.