System and method for assigning tags to control instruction proc

Electrical computers and digital processing systems: processing – Processing architecture – Superscalar

Patent

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

712215, 712217, 712218, G06F 1500, G06F 930

Patent

active

060921765

ABSTRACT:
A tag monitoring system for assigning tags to instructions. A source supplies instructions to be executed by a functional unit. A register file stores information required for the execution of each instruction. A queue having a plurality of slots containing tags which are used for tagging the instructions. The tags are arranged in the queue in an order specified by the program order of their corresponding instructions. A control unit monitors the completion of executed instructions and advances the tags in the queue upon completion of an executed instruction. The register file stores an instruction's information at a location in the register file defined by the tag assigned to that instruction. The register file also contains a plurality of read address enable ports and corresponding read output ports. Each of the slots from the queue is coupled to a corresponding one of the read address enable ports. Thus, the information for each instruction can be read out of the register file in program order.

REFERENCES:
patent: 4626989 (1986-12-01), Torii
patent: 4675806 (1987-06-01), Uchida
patent: 4722049 (1988-01-01), Lahti
patent: 4807115 (1989-02-01), Torng
patent: 4881167 (1989-11-01), Sasaki et al.
patent: 4903196 (1990-02-01), Pomerence et al.
patent: 4992938 (1991-02-01), Cocke et al.
patent: 5067069 (1991-11-01), Fite et al.
patent: 5109495 (1992-04-01), Fite et al.
patent: 5120083 (1992-06-01), Fite et al.
patent: 5142633 (1992-08-01), Murray et al.
patent: 5214763 (1993-05-01), Blaner et al.
patent: 5226126 (1993-07-01), McFarland et al.
patent: 5230068 (1993-07-01), Van Dyke et al.
patent: 5251306 (1993-10-01), Tran
patent: 5255384 (1993-10-01), Saachs et al.
patent: 5261071 (1993-11-01), Lyon
patent: 5285527 (1994-02-01), Crick et al.
patent: 5317720 (1994-05-01), Stamm et al.
patent: 5345569 (1994-09-01), Tran
patent: 5355457 (1994-10-01), Shebanow et al.
patent: 5398330 (1995-03-01), Johnson
patent: 5442757 (1995-08-01), McFarland et al.
patent: 5487156 (1996-01-01), Popescu et al.
patent: 5524225 (1996-06-01), Kranich
patent: 5561776 (1996-10-01), Popescu et al.
patent: 5592636 (1997-01-01), Popescu et al.
patent: 5604912 (1997-02-01), Iadonato et al.
patent: 5606676 (1997-02-01), Grochowski et al.
patent: 5619668 (1997-04-01), Zaidi
patent: 5625837 (1997-04-01), Popescu et al.
patent: 5627983 (1997-05-01), Popescu et al.
patent: 5628021 (1997-05-01), Iadonato et al.
patent: 5651125 (1997-07-01), Witt et al.
patent: 5664136 (1997-09-01), Witt et al.
patent: 5689672 (1997-11-01), Witt et al.
patent: 5708841 (1998-01-01), Popescu et al.
patent: 5768575 (1998-06-01), McFarland et al.
patent: 5797025 (1998-08-01), Popescu et al.
patent: 5832293 (1998-11-01), Popescu et al.
patent: 5892963 (1999-04-01), Iadonato et al.
patent: 5896542 (1999-04-01), Iadonato et al.
Dwyer, A Multiple, Out-of-Order Instruction Issuing System for Superscaler Processors, Aug. 1991.
Hennessey et al., Computer Architecture: A Quantitative Approach, 1990, Ch. 6.4 and 6.7 and p. 449.
Johnson, Superscalar Microprocessor Design, Prentice-Hall, Inc., Englewood Cliffs, NJ, 1991.
Keller, "Look-Ahead Processors," Computer Surveys, vol. 7, No. 4, Dec., 1975.
Lightner et al., "The Metaflow Lightning Chipset", IEEE Publication, 1991, pp. 13-16.
Patt et al., "Critical Issues Regarding HPS, A High Performance Microarchitecture", The 18th Order No. 653, pp. 109-116.
Patt et al., HPS, A New Microarchitecture: Rationale and Introducti, The 18 Annual Workshop on Microprogramming, Pacific Grove, CA, Dec. 3-6, 1985, IEEE Computer Society Order No. 653, pp. 103-108.
Peleg et al., "Future Trends in Microprocessors: Out-of-Order Execution, Spec. Branching and Their CISC Perfromance Potential", Mar. 1991.
Popescu et al., "The Metaflow Architecture", IEEE Micro, vol. 11, No.3, Jun. 1991, pp. 10-13 and 63-73.
Smith et al., "Limits on Multiple Instruction Issue," Computer Architecture News, No. 2, Apr. 1989, pp. 290-302.
Smith et al., "Implementation of Precise Interrupts in Pipelined Processors," Proceedings of the 12th Annual International Symposium on Computer Architecture, Jun. 1985, pp. 36-44.
Wedig, R.G., Detection of Concurrency In Directly Executed Language Instruction Streams, (Disseration), Jun. 1982, pp. 1-179.
Agerwala et al., "High Performance Reduced Instruction Set Processors," IBM Research Division, Mar. 31, 1987, pp. 1-61.
Gross et al., "Optimizing Delayed Branches," Proceedings of the 5th Annual Workshop on Microprogramming, Oct. 5-7, 1982, pp. 114-120.
Tjaden et al., "Representation of Concurrency with Ordering Matrices," IEEE Trans. On Computers, vol. C-22, No. 8, Aug. 1973, pp. 752-761.
Tjaden, Representation and Detection of Concurrency Using Ordering Matrices, (Dissertation), 1972 pp. 1-199.
Foster et al., "Percolation of Code to Enhance Parallel Dispatching and Execution," IEEE Trans. On Computers, Dec. 1971, pp. 1411-1415.
Thornton, J.E., Design of a Computer: The Control Data 6600, Control Data Corporation, 1970, pp. 58-140.
Weiss et al., "Instruction Issue Logic in Pipelined Supercomputers," Reprinted from IEEE Trans. on Computers, vol. C-33, No. 11, Nov. 1984, pp. 1013-1022.
Tomasulo, R.M., "An Efficient Algorithm for Exploiting Multiple Arithmetic Units," IBM Journal, vol. 11, Jan. 1967, pp. 25-33.
Tjaden et al., "Detection and Parallel Execution of Independent Instructions," IEEE Trans. On Computers, vol. C-19, No. 10, Oct. 1970, pp. 889-895.
Pleszkun et al., "The Performance Potential of Multiple Functional Unit Processors," Proceedings of the 15th Annual Symposium on Computer Architecture, Jun. 1988, pp. 37-44.
Pleszkun et al., "WISQ: A Restartable Architecture Using Queues," Proceedings of the 14th International Symposium on Computer Architecture, Jun. 1987, pp. 290-299.
Hwu et al., "Checkpoint Repair for High-Performance Out-of-Order Execution Machines," IEEE Trans. On Computers, vol. C-36, No. 12, Dec. 1987, pp. 1496-1514.
Jouppi et al., "Available Instruction-Level Parallelism for Superscaler and Superpipelined Machines," Proceedings of the 3rd International Conference on Architectural Support for Programming Languages and Operating Systems, Apr. 1989, pp. 272-282.
Hwu et al., "HPSm, a High Performance Restricted Data Flow Architecture Having Minimal Functionality," Proceedings from ISCA-13, Tokyo, Japan, Jun. 2-5, 1986, pp. 297-306.
Hwu et al., "Exploiting Parallel Microprocessor Microarchitectures with a Compiler Code Generator," Proceedings of the 15th Annual Symposium on Computer Architecture, Jun. 1988, pp. 45-53.
Colwell et al., "A VLIW Architecture for a Trace Scheduling Compiler," Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems, Oct. 1987, pp. 180-192.
Uht, A.L., "An Efficient Hardware Algorithm to Extract Concurrency From General-Purpose Code," Proceedings of the 19th Annual Hawaii International Conference on System Sciences, 1986, pp. 41-50.
Charlesworth, A.E., "An Approach to Scientific Array Processing: The Architectural Design of the AP-120B/FPS-164 Family," Computer, vol. 14, Sep. 1981, pp. 18-27.
Acosta, Ramon D. et al., "An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors," IEEE Transactions On Computers, vol. C-35, No. 9, Sep. 1986, pp. 815-828.
Johnson, William M., Super-Scalar Processor Design, (Dissertation), Copyright 1989, 134 pages.
Sohi, Gurindar S. and Sriram Vajapeyam, "Instruction Issue Logic For High-Performance, Interruptable Pipelined Processors," Conference Proceedings of the 14.sup.th Annual International Symposium on Computer Architecture, Jun. 2-5, 1987, pp. 27-34.
Smith, M.D. et al., "Boosting Beyond Static Scheduling in a Superscalar Processor," IEEE, 1990, pp. 344-354.
Murakami, K. et al., "SIMP (Single Instruction stream/Multiple instruction Pipelining): A Novel High-Speed Single-Processor Architecture," ACM, 1989, pp. 78-85.
Jouppi, N.P., "The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance," IEEE Transactions on Computers, vol. 38, No. 12, Dec. 1989, pp. 1645-1658.
Horst, R.W. et al.,

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

System and method for assigning tags to control instruction proc does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with System and method for assigning tags to control instruction proc, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and System and method for assigning tags to control instruction proc will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2049084

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.