Simulation method for efficient characterization of...

Data processing: structural design – modeling – simulation – and em – Simulating electronic device or electrical system

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

08036870

ABSTRACT:
A method of determining the behavior of an electronic system comprising electronic components under variability is disclosed. In one aspect, the method comprises for at least one parameter of at least one of the electronic components, showing variability defining a range and a population of possible values within the range, each possible value having a probability of occurrence, thereby defining an input domain. The method further comprises selecting inputs randomly from the input domain, wherein the probability to sample (PTS) is obtained from the probability of occurrence (PTOIR). The method further comprises performing simulation to obtain the performance parameters of the electronic system, thereby defining an output domain sample. The method further comprises aggregating results of the individual computations into the parameter/variability of the electronic system and assigning a frequency of occurrence (FoO) to the resulting sample, the parameter variability and the frequency of occurrence defining the behavior.

REFERENCES:
patent: 6880136 (2005-04-01), Huisman et al.
patent: 6888564 (2005-05-01), Caviedes et al.
patent: 7539893 (2009-05-01), Ferguson
patent: 2007/0098116 (2007-05-01), Kim et al.
patent: 2007/0277134 (2007-11-01), Zhang et al.
patent: 2008/0005707 (2008-01-01), Papanikolaou et al.
patent: 2008/0046692 (2008-02-01), Michalak et al.
patent: 1583009 (2005-10-01), None
Dierickx et al., Propagating Variability from Technology to System Level, 2007.
Weaver, Probability and Hypothesis Testing, 2005.
Agarwal et al., Process variation in embedded memories: failure analysis and variation aware architecture,IEEE Journal of Solid-State Circuits(Sep. 2005), vol. 40 No. 9:1804-1814.
Agarwal et al., Statistical timing analysis using bounds and selective enumeration,IEEE Trans. on CAD(Sep. 2003), vol. 22 No. 9.
Antreich et al., Circuit analysis and optimization driven by worst-case distances,IEEE Trans. on CAD(Jan. 1994), vol. 13, No. 1.
ARM The Architecture for the Digital World, http://www.arm.com/products/physical-ip/embedded-memory-ip/index.php, accessed Feb. 21, 2011.
Ashouei et al, Statistical Estimation of Correlated Leakage Power Variation and Its Application to Leakage—Aware Design, Proceedings of 19thInternational Conference on VLSI Design, Jan. 3-7, 2006, 7 pages.
Austin et al., Making typical silicon matter with razor,IEEE Computer(Mar. 2004) pp. 57-65.
Benini et al., System-level power optimization techniques and tools,ACM Trans. on Design Automation for Embedded Systems, vol. 5, Issue 2, Apr. 2000, pp. 288-293.
Blaauw et al., CAD tools for variation tolerance,Design Automation Conference(Jun. 2005), 766.
Borkar, Designing reliable systems from unreliable components: the challenges of transistor variability and degradation,IEEE Micro(Nov./Dec. 2005), vol. 25, No. 6, pp. 10-16.
Bratley et al., A Guide to Simulation, 1983, pp. 42-72, Springer-Verlag New York Inc., New York.
Chang et al., Statistical timing analysis considering spatial correlations using a single PERT-like traversal,Intl. Conf. on Computer Aided Design(Nov. 11-13, 2003), pp. 621-625.
Chen et al, Area Fill Synthesis for Uniform Layout Density, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Oct. 2002, vol. 21, No. 10, pp. 1132-1147.
Clark et al., The Greatest of a Finite Set of Random Variables, Operations Research, Mar.-Apr. 1961, vol. 9, No. 2, pp. 145-162.
Dierickx et al., “VAM IF” Variability and Reliability Aware Modeling Information Format Definition and User Manual, Jul. 2007.
Genest et al., Everything you always wanted to know about copula modeling but were afraid to ask,Journal of Hydrologic Engineering(Mar. 21, 2006).
Grobman et al, Reticle Enhancement Technology: Implications and Challenges for Physical Design, 38thConference on Design Automation, DAC Jun. 18-22, 2001, pp. 72-78, Las Vegas, Nevada.
Gupta et al, Manufacturing-Aware Physical Design, IEEE/ACM Int'l Conference on Computer-Aided Design, ICCAD Nov. 11-13, 2003, pp. 681-687, San Jose, California.
Gupta et al, Toward a Systematic-Variation Aware Timing Methodology, Design Automation Conference, Jun. 7-11, 2004, pp. 321-326, San Jose, California.
Gupta, et al, Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control, Proc. SPIE, Conference date: Feb. 27, 2003, Online publication date: Jul. 31, 2003, vol. 5043, No. 123.
Habitz, P., Chip Timing and Optimization using Statistical Analysis Methods, ASICs Timing Methodology, from Practical Aspects of Coping with Variability: An Electrical View, Tutorial at ACM/IEEE Design Automation Conf. Jul. 24-28, 2006.
Hong et al., On-line scheduling of hard real-time tasks on variable voltage processors,Intl. Conf. on Computer Aided Design(Nov. 1998), pp. 653-656, San Jose, CA.
International Technology Roadmap for Semiconductors, http://public.itrs.net, 2005.
Jacobs et al, Gate Sizing Using a Statistical Delay Model, Design Automation and Test in Europe Conference and Exhibition, Mar. 27-30, 2000.
Kim et al., TCAD-Based Statistical Analysis and Modeling of Gate Line-Edge Roughness Effect on Nanoscale MOS Transistor Performance and Scaling, IEEE Transactions on Semiconductor Manufacturing, May 2004, vol. 17, No. 2, pp. 192-200.
Lin, X.W., Design and Process Variability—the Source and Mechanisms, from Practical Aspects of Coping with Variability: An Electrical View, Tutorial at ACM/IEEE Design Automation Conf. Jul. 24-28, 2006.
Mani et al., A new statistical optimization algorithm for gate sizing,Intl. Conf. on Computer Design(Oct. 11-13, 2004), pp. 272-277.
Mani et al., An efficient algorithm for statistical minimization of total power under timing yield constraints,Design Automation Conference(Jun. 13-17, 2005), pp. 309-314, Anaheim, CA.
Montgomery, Applied statistics & probability for engineers, Chapter 5: Joint Probability Distributions, John Wiley & Sons, Jan. 1994.
Nikolic, B., Capturing Variability, from Practical Aspects of Coping with Variability: An Electrical View, Tutorial at ACM/IEEE Design Automation Conf. Jul. 24-28, 2006.
Nose et al. Vth-hopping scheme to reduce subthreshold leakage for low-power processors,IEEE J. of Solid-State Circuits(Mar. 2002), vol. 37 No. 3, pp. 413-419.
Okuma et al. Real-time task scheduling for a variable voltage processor,Intl. Symposium on System Synthesis(Nov. 1999), 24-29.
Papanikolaou et al., A system-level methodology for fully compensating process variability impact of memory organizations in periodic applications,Intl. Conference on HW/SW Co-design and System Synthesis(CODES+ISSS) (Sep. 19-21, 2005) New Jersey, USA.
Patel et al., Synthesis of partitioned shared memory architectures for energy-sufficient multi-processor SoC, Proceedings of the Conference on Design, Automation and Test in Europe, Feb. 16-20, 2004, pp. 700-701.
Pelgrom et al. Matching Properties of MOS Transistors, IEEE Journal of Solid-State Circuits, Oct. 1989, vol. 24, No. 5, pp. 1433-1440.
Rao et al, Parametric Yield Estimation Considering Leakage Variability, Annual ACM IEEE Design Automation Conference, Jun. 7-11, 2004, pp. 442-447, San Diego, California.
Riko, R., DFM View, Methodology Perspectives, Techniques for Stitching Together DFM Methods and Timing Optimization into a Usable Methodology, from Practical Aspects of Coping with Variability: An Electrical View, Tutorial at ACM/IEEE Design Automation Conf. Jul. 24-28, 2006.
Sinha et al., Advances in Computation of the Maximum of a Set of Random Variables, Proceedings of the 7thInternational Symposium on Quality Electronic Design, 2006, 6 pages.
Srivastava et al., Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance,Design Automation Conference(Jun. 13-17, 2005), pp. 535-540, Anaheim, CA.
Srivastava et al., Concurrent sizing, Vdd and Vth assignment for low power design, IEEE (Feb. 2004), 718-719.
Srivastava et al., Statistical optimization of leakage power considering process variations using dual-Vh and sizing,Design Automation Conference(Jun. 7-11, 2004),

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Simulation method for efficient characterization of... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Simulation method for efficient characterization of..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Simulation method for efficient characterization of... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4261796

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.