Simulation based power optimization

Data processing: structural design – modeling – simulation – and em – Simulating electronic device or electrical system – Circuit simulation

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C703S018000, C716S030000, C716S030000

Reexamination Certificate

active

06397170

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to low power designs for application specific integrated circuits (hereinafter “ASIC”), and more particularly to a system and method for designing ASIC devices that are optimized for power.
2. Background of the Invention
Low power designs in electronic systems are becoming increasingly more important as personal and mobile devices, such as laptop computers and cellular phones, become more popular. Because battery life represents a critical limitation in such devices, the importance of providing low power designs has become an important design constraint. Incorporated into many of today's electronic systems are powerful ASIC devices designed to provide a high degree of functionality for a particular device or type of application. As such, ASIC's tend to be large consumers of power. Unfortunately, traditional tools for designing integrated circuits (IC's) often do not consider power reduction to be as critical as other design criteria, such as area and speed. Accordingly, today's design tools lack the sophistication to adequately optimize an ASIC device for power reduction.
ASIC's are logic chips designed by the end-customers to perform a specific function and thereby meet the specific needs of their application. Customers implement their designs in a single silicon die by mapping their functions to a set of predesigned, preverified logic circuits provided by the ASIC vendor. These circuits are referred to as the ASIC vendor's library, and are described in the ASIC vendor's databook. These circuits range from the simplest functions, such as inverters, NANDs and NORs, flip-flops and latches, to more complex structures such as static memory arrays, adders, counters and phase-lock loops. Recently vendors have added some highly complex circuits to their ASIC libraries, such as microprocessors, Ethernet® functions, and peripheral component interconnect (PCI) controllers.
The process of creating a lower power ASIC design is currently a manual one aided by various software tools. The logic designer typically studies node toggle and power calculation reports and makes architectural or structural changes to the high level netlist to quiet down or turn off areas of the design that do not need to be powered up at all times. This is typically done by shutting off the clocks to certain regions of the design or holding previous data steady when a change in data is not required for proper functioning of the ASIC.
In recognition of this problem, ASIC software tool vendors are starting to address this area of low power design with different tools to evaluate design power. One method uses a dynamic gate-level power simulator that models non-linear power consumption behavior. Other tools perform a gate level power analysis for making quick estimates during synthesis process. A paper entitled: “What Is The State Of The Art In Commercial EDA Tools For Low Power,” by Coudert, Haddad and Keutzer, of Synopsys, Inc., ISLPED 1996 Monterey, Calif. USA, discusses such methods and is hereby incorporated by reference.
Unfortunately, none of these tools take a dynamic approach to power optimization. Specifically, none recognize the fact that typical ASIC designs, such as those designed for embedded systems, are built to execute a relatively well defined set of applications (i.e., program instructions) stored in read only memory (TOM). Thus, no known methods exist for designing power optimized ASIC devices based on the applications that will likely run on the ASIC.
SUMMARY OF THE INVENTION
The present invention provides a system and method for dynamically optimizing ASIC designs to reduce power consumption. The present invention recognizes the fact that the toggling of nets between states (e.g., high to low) directly correlates to power consumption. To identify frequently toggling nets, the present invention simulates the operation of an ASIC design with a set of applications similar to those that will eventually run on the ASIC device. The output of the simulation comprises net toggle information, which details how often each net toggles during typical usage of the ASIC. The simulation process also includes a mechanism for weighting each of the applications such that more frequently used applications are given more weight when determining the net toggle information.
The net toggle information may be utilized at two different stages of the design process. First, net toggle information may be generated at the behavioral or RTL (register transfer level) design levels, when the ASIC design is represented in a high level logic language such as VHDL (VHSIC Hardware Description Language) or Verilog. By performing simulations as described above, fundamental architectural modifications to reduce power consumption can be implemented by the designer based on the net toggle information. Secondly, net toggle information can be generated at the gate design level, after the ASIC design has been synthesized and physically laid out. The net toggle information generated at the gate level, together with physically extracted net capacitance and RC delay data, can then be fed back into the synthesis process to optimize the ASIC for power reduction. This process can be repeated until an acceptable design is achieved.
It is therefore an advantage of the present invention to provide weighted net toggle information.
It is therefore a further advantage of the present invention to provide a system for dynamically designing an ASIC device using feedback data that profiles the likely operation of the ASIC device.
It is therefore a further advantage of the present invention to provide a method and system for reducing power consumption in an ASIC device.


REFERENCES:
patent: 4342093 (1982-07-01), Miyoshi
patent: 4527249 (1985-07-01), Van Brunt
patent: 4698760 (1987-10-01), Lembach et al.
patent: 4775950 (1988-10-01), Terada et al.
patent: 4791593 (1988-12-01), Hennion
patent: 5047971 (1991-09-01), Horwitz
patent: 5349542 (1994-09-01), Brasen et al.
patent: 5379231 (1995-01-01), Pillage et al.
patent: 5404310 (1995-04-01), Mitsuhashi
patent: 5535370 (1996-07-01), Raman et al.
patent: 5598532 (1997-01-01), Liron
patent: 5649166 (1997-07-01), Saldanha et al.
patent: 5655109 (1997-08-01), Hamid
patent: 5696694 (1997-12-01), Khouja et al.
patent: 5768145 (1998-06-01), Roethig
patent: 5815416 (1998-09-01), Liebmann et al.
patent: 5838947 (1998-11-01), Sarin
patent: 5949689 (1999-09-01), Olson et al.
patent: 6151568 (2000-11-01), Allen et al.
Eisenmann, W. et al. “Power Calculation for High Density CMOS Gate Arrays” Euro ASIC '91, May 27-31, 1991, pp. 198-203.*
“LSI Low Power Oriented Layout Method with Net Switching Factors”, IBM Technical Disclosure Bulletin, vol. 36, No. 06B, pp. 505-507, 1993.
Tiwari et al., “Technology Mapping for Low Power”, 30th ACM/IEEE Design Automation Conference, pp. 74-79 1993.
Chandrakasan et al., “Hyper-LP: A System for Power Minimization Using Architectural Transformations”, IEEE, pp. 300-303, 1992.
Hachtel et al., “Re-Encoding Sequential Circuits to Reduce Power Dissipation”, ACM, pp. 70-73, 1994.
Murgai et al., “Decomposition of Logic Functions for Minimum Transition Activity”, IEEE, pp. 404-410, 1995.
Courdert et al., “What is the State of the Art in Commercial EDA Tools for Low Power?”, ISLPED, pp. 181-187, 1996.
Tsui et al., “Technology Decomposition and Mapping Targeting Low Power Dissipation” 30th ACM/IEEE Design Automation Conference, pp. 68-73, 1993.
Alidina et al., “Precomputation-Based Sequential Logic Optimization for Low Power”, ACM, pp. 74-81, 1994.
“Optimum Routing of Critical Timing Paths”, IBM Technical Disclosure Bulletin, vol. 30, No. 12, pp. 300-302, 1988.
Benini et al., “State Assignment for Low Power Dissipation”, IEEE 1994 Custom Integrated Circuits Conference, pp. 136-139, 1994.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Simulation based power optimization does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Simulation based power optimization, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Simulation based power optimization will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2863643

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.