Semiconductor wafer cleaning method to remove residual...

Cleaning and liquid contact with solids – Processes – For metallic – siliceous – or calcareous basework – including...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C134S001000, C134S001200, C134S006000, C134S019000, C134S026000, C134S028000, C134S030000, C134S034000, C134S035000, C134S036000, C134S041000, C134S902000, C015S077000, C015S088200, C015S088300, C510S175000, C510S477000, C510S488000

Reexamination Certificate

active

06585826

ABSTRACT:

FIELD OF THE INVENTION
This invention generally relates to semiconductor wafer cleaning and more particularly to a cleaning procedure for removing residual contamination from semiconductor wafer surface including metal nitride particles, following semiconductor device manufacturing processes.
BACKGROUND OF THE INVENTION
In semiconductor fabrication, various layers of insulating material, semiconducting material and conducting material are formed to produce a multilayer semiconductor device. The layers are patterned to create features that taken together, form elements such as transistors, capacitors, and resistors. These elements are then interconnected to achieve a desired electrical function, thereby producing an integrated circuit (IC) device. The formation and patterning of the various device layers are achieved using conventional fabrication techniques, such as oxidation, implantation, deposition, epitaxial growth of silicon, lithography, etching, and planarization.
For example, in creating a multiple layer semiconductor device on a semiconductor wafer, each layer making up the device may be subjected to one or more deposition processes, for example by chemical vapor deposition (CVD), and usually including one or more etching procedures by either a dry (plasma) or wet (chemical) etching process. A critical condition in semiconductor manufacturing is the absence of contaminants on the wafer processing surface, as contaminants including, for example, microscopic particles, may interfere with and adversely affect subsequent processing steps leading to device degradation and ultimately semiconductor wafer rejection.
While the wafer cleaning process has been always been a critical step in the semiconductor wafer manufacturing process, ultra clean wafers are becoming even more critical to device integrity. For example, as semiconductor feature sizes decrease, the detrimental affect of particle contamination increases, requiring removal of ever smaller particles. For example, particles as small as 20 nm may be unacceptable in many semiconductor manufacturing processes. Further, as the number of device layers increase there is a corresponding increase in the number of cleaning steps and the potential for device degradation caused by contamination. To adequately meet requirements for ultra clean wafers in ULSI and VLSI the wafer surface must be free of particles, organic contamination, metal contamination, surface micro roughness and native oxide.
Common processes in use for cleaning wafers include cleaning solutions based on hydrogen peroxide. At high pH values (basic) organic contamination and oxidizable particles, are removed by an oxidation process. At low pH (acidic) metal contamination is desorbed from the wafer surface by forming a soluble complex.
Common particle removal mechanisms which may be exploited, depending on the particle and how it adheres to the surface, include dissolution, oxidizing degradation and dissolution, physical removal by etching, and electrical repulsion between a particle and the wafer surface.
Standard wafer cleaning processes include mechanical scrubbing or and ultrasonic agitation of the wafer surface in the cleaning solution or in deionized water (particulate removal). Typical chemical cleaning solutions include solutions of “piranha”, RCA cleanup, and choline. Piranha is a solution of hydrogen peroxide (H
2
O
2
) and sulfuric acid (H
2
SO
4
). Choline cleaning solution includes hydrogen peroxide with choline ((CH
3
)
3
N(CH
2
CH
2
OH)OH) at 50° C. followed by an ultrasonic agitation in deionized water and a deionized water rinse followed by a spin dry. The RCA cleaning process has up to three steps; a removal of gross organics with perchloroethylene; a removal of residual organic films with a basic solution of H
2
O
2
and NH
4
OH followed by deionized water rinse and spin dry; and, a removal of metal particles with an acidic solution of H
2
O
2
and HCl again followed a deionized water rinse and spin dry. The cleaning solutions are typically used at about 75° C. to about 80° C. and essentially provide an oxidizing and complexing treatment which does not attack silicon or silicon dioxide (oxide). The basic solution cleanup is frequently referred to as SC-
1
and the acidic solution cleanup is referred to as SC-
2
.
One shortcoming with cleaning process of the prior art using cleaning solutions based on hydrogen peroxide, is the failure to adequately remove metal nitride particles as acceptable particle sizes decrease. Metal nitrides are used in semiconductor processing for numerous portions of a semiconductor device. For example, metal nitrides including silicon nitride, silicon oxynitride, and titanium nitride are widely used as barrier layers in metal interconnects such as vias and trench lines to prevent metal fill diffusion into adjacent insulating layers.
Another example includes the use of metal nitrides, for example, silicon nitride, as a hard mask or etch stop overlying, for example, an insulating layer in which features are anisotropically etched using the metal nitride as a hard mask during reactive ion etching (RIE). The metal nitride layers are typically deposited by a CVD process such as plasma enhanced CVD (PECVD) and low pressure CVD (LPCVD). Metal nitride particles contaminate the process wafer surface during both the CVD process and the RIE process. For example, metal nitride particles remaining on the wafer surface after the CVD process may degrade subsequent process steps including photolithographic patterning of a photoresist layer deposited over a metal nitride layer in preparation for etching. As a further example, metal nitride particles remaining over a barrier layer following a CVD deposition could interfere with the adhesion or step coverage of, for example, a metal seed layer for a subsequent electrodeposition process.
Yet another example where metal nitride particles are left on a semiconductor processing surface includes chemical mechanical polishing (CMP). Frequently in semiconductor processing steps, following RIE etching and backfilling with metal of vias and trench lines, a CMP process is performed to planarize the semiconductor surface, frequently removing not only metal but a portion of the metal nitride etch stop layer overlying the insulating layer. As such, both metal particles and metal nitride particles must be removed from the semiconductor surface before forming the next series of device layers.
At least two major difficulties are presented in using hydrogen peroxide based cleaning solutions of the prior art, such as SC-
1
and SC-
2
, to clean metal nitride surfaces. One difficulty is that both basic and acidic versions of hydrogen peroxide based solutions may tend to attack the metal nitride surface resulting in micro roughness due to oxidation and etching of the surface thereby unacceptably degrading electrical properties in many emerging applications of metal nitrides, for example, as gate materials in CMOS gate structures.
Another difficulty is due to what are believed to be electrical repulsion effects or electrical double layer effects resulting from smaller metal nitride particles, making the electrical repulsion effect from, for example, cleaning solutions SC-
1
or SC-
2
, less effective with metal nitride particles.
There is therefore a need in the semiconductor processing art to develop cleaning methods that are able to effectively clean metal nitride particle residues from semiconductor wafer surfaces without creating a micro roughened metal nitride surface.
It is therefore an object of the invention to provide a cleaning method that will effectively clean metal nitride particle residues from semiconductor wafer surfaces creating a micro roughened metal nitride surface while overcoming other shortcomings and deficiencies in the prior art.
SUMMARY OF THE INVENTION
To achieve the foregoing and other objects, and in accordance with the purposes of the present invention, as embodied and broadly described herein, the present invention provides a method of removing residual contamination includi

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Semiconductor wafer cleaning method to remove residual... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Semiconductor wafer cleaning method to remove residual..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Semiconductor wafer cleaning method to remove residual... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3085295

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.