Power aware asynchronous circuits

Computer-aided design and analysis of circuits and semiconductor – Integrated circuit design processing – Logic design processing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S104000, C716S132000

Reexamination Certificate

active

08086975

ABSTRACT:
Techniques are described for converting netlists for synchronous circuits such as combinational modules, flip flops (or latches), and clock gating modules, to netlist of asynchronous modules. Processes including algorithms are described that bundle multiple modules in an enable domain, so that they are activated only if the incoming enable token to the enable domain has the UPDATE value. The modules can be clustered inside an enable domain, so that each cluster has a separate controller. The objective function of bundling and clustering can minimize power consumption with respect to a given cycle time. Exemplary embodiments can include a gated multilevel domino template.

REFERENCES:
patent: 6658635 (2003-12-01), Tanimoto
patent: 6735743 (2004-05-01), McElvain
patent: 7120883 (2006-10-01), van Antwerpen et al.
patent: 7689955 (2010-03-01), van Antwerpen et al.
patent: 7694266 (2010-04-01), Sankaralingam
patent: 2006/0120189 (2006-06-01), Beerel et al.
patent: 2006/0190851 (2006-08-01), Karaki et al.
patent: 2007/0198238 (2007-08-01), Hidvegi et al.
patent: 2007/0253275 (2007-11-01), Ja et al.
patent: 2007/0256038 (2007-11-01), Manohar
patent: 2009/0271747 (2009-10-01), Tanaka
patent: WO 2007/127914 (2007-11-01), None
patent: WO 2008078740 (2008-07-01), None
Schuster et al., “Low-Power Synchronous-to-Asynchronous-to-Synchronous Interlocked Piplelined CMOS Circuits Operating at 3.3-4.5 GHz”, IEEE Journal of Solid-State Circuits, vol. 38, No. 4, Apr. 2003, pp. 622-630.
Simlastic et al., “Clockless Implementation of LEON2 for Low-Power Applications”, IEEE Design and Diagnostics of Electronic Circuits and Systems, Apr. 11-13, 2007, 4 pages.
Beerel, P. A. et al. Slack Matching Asynchronous Designs. IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06), 2006.
Cortadella, J. et al. Desynchronization: Synthesis of Asynchronous Circuits From Synchronous Specifications. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on. vol. 25, Issue 10, pp. 1904-1921, Oct. 2006.
Fant, K.M. et al. “NULL Convention Logic” (Theseus Logic, Inc.), retrieved from the Internet <http://www.cs.ucsc.edu/˜sbrandt/papers/NCL2.pdf>, 1997, 40 pages.
Fraer, R. et al. A New Paradigm for Synthesis and Propagation of Clock Gating Conditions. Design Automation Conference, 2008. DAC 2008. 45th ACM/IEEE, pp. 658-663, Jun. 2008.
Linder, D.H. et al. Phased Logic: Supporting the Synchronous Design Paradigm with Delay-Insensitive Circuitry. Mississippi State Univ., IEEE Transactions, vol. 45, issue 9, pp. 1031-1044, Sep. 1996.
Lines, A.M. Pipelined Asynchronous Circuits. Jun. 1995, revised Jun. 1998, Technical Report, California Institute of Technology, [CaltechCSTR:1998.cs-tr-95-21].
Smirnov, A. et al. Synthesizing Asynchronous Micropipelines with Design Compiler. Proc. SNUG Boston 2006: Synopsys User Group, Sep. 18-19, 2006, Boston, USA.
Sutherland, I. et al. GasP: A Minimal FIFO Control. Proceedings of the Seventh International Symposium on Advanced Research in Asynchronous Circuits and Systems, Salt Lake City, Utah, USA. Mar. 11-14, 2001. pp. 46-53. (IEEE 2001).
Wong, C. et al. High-Level Synthesis of Asynchronous Systems by Data-Driven Decomposition. DAC 2003.
Alidina, M. et al. Precomputation-Based Sequential Logic Optimization for Low Power. 1994. IEEE Transactions on Very Large Scale Integration (VSLI) Systems, Dec. 1994, vol. 2, No. 4, pp. 426-436.
Andrikos, N. et al. 2007. A Fully-Automated Desynchronization Flow for Synchronous Circuits. In Proceedings of the 44th Annual Conference on Design Automation, Jun. 4-8, 2007, San Diego, California, ACM, pp. 982-985.
Bardsley, A. et al. 2000. The Balsa Asynchronous Circuit Synthesis System. In Proceedings of the Forum on Design Languages, Sep. 2000, 8 pages.
Beerel, P. 2002. Asynchronous Circuits: An Increasingly Practical Design Solution. In Proceedings of the International Symposium on Quality Electronic Design (ISQED '02), IEEE, pp. 367-372.
Beerel, P. et al. 2007. Low Power and Energy Efficient Asynchronous Design. Journal on Low Power Electronics, Nov. 2007, vol. 3, No. 3, pp. 234-253.
Benini, L. et al. 1994. Automatic Synthesis of Gated Clocks for Power Reduction in Sequential Circuits. In IEEE Design and Test of Computers, Special Issue, pp. 32-40.
Berkel, K. van. et al. 1991. The VLSI-Programming Language Tangram and Its Translation Into Handshake Circuits. In Proceedings of the European Conference on Design Automation (EDAC), IEEE, pp. 384-389.
Berkel, C.H. (Kees) van et al. 1999. Scanning the Technology: Applications of Asynchronous Circuits. In Proceedings of the IEEE, Feb. 1999, vol. 87, No. 2, pp. 223-233.
Blunno, I. et al. 2004. Handshake Protocols for De-Synchronization. In Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems. Apr. 2004, pp. 149-158.
Chelcea, T. et al. 2002. A Burst-Mode Oriented Back End for Balsa Synthesis System. In Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition (Date '02), Mar. 2002, pp. 330-337.
Chelcea, T. et al. 2002. Resynthesis and Peephole Transformations for Optimization of Large-Scale Asynchronous Systems. In Proceedings of the 39th Conference on Design Automation, ACM Publishers, pp. 405-410.
Cortadella, J. et al. 2004. From Synchronous to Asynchronous: An Automatic Approach. In Proceedings of the Design Automation and Test in Europe Conference and Exhibition (Date '04), IEEE, pp. 1368-1369.
El-Essawy, W. et al. 2002. A Microarchitectural Level Step-Power Analysis Tool. In Proceedings of the International Symposium on Low Power Electronics and Design, (ISLPED '02), Aug. 12-14, 2002, Monterey, California, ACM, Publishers, pp. 263-266.
Fant, K.M. et al. 1996. NULL Convention Logic (TM): A Complete and Consistent Logic for Asynchronous Digital Circuit Synthesis. In Proceedings of International Conference on Application Specific Systems, Architectures and Processing, Aug. 1996, IEEE, pp. 261-273.
Ferretti, M. et al. 2004, Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding. Technical Report. University of Southern California, Aug. 2004, 8 pages.
Jacobson, H. et al. 2005. Stretching the Limits of Clock-Gating Efficiency in Server-Class Processors. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture (HPCA-11 2005), Feb. 2005, IEEE, pp. 238-242.
Kessels, J. et al. 2001. The Tangram Framework: Asynchronous Circuits for Low Power. In Proceedings of Asia and South Pacific Design Automation Conference, Feb. 2001, IEEE, pp. 255-260.
Kondratyev, A. et al. 2002. Design of Asynchronous Circuits by Synchronous CAD Tools. In IEEE Design and Test of Computers, Jul./Aug. 2002, vol. 19, No. 4, pp. 107-117.
Ligthart, M. et al. 2000. Asynchronous Design Using Commercial HDL Synthesis Tools. In Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems, Apr. 2000, pp. 114-125.
Luo, Y. et al. 2005. Low Power Network Processor Design Using Clock Gating. In Proceedings of the 42nd Annual Conference on Design Automation, DAC 2005, Jun. 13-17, 2005, Anaheim, California, ACM Publishers, pp. 712-715.
Manohar, R. et al. 1998. Slack Elasticity in Concurrent Computing. Lecture Notes in Computer Science, vol. 1422, pp. 272-285.
Martin, A. 1986. Compiling Communicating Process into Delay-Insensitive VLSI Circuits. Distributed Computing, vol. 1, No. 4, pp. 226-234.
Ozdag, R.O. 2003. Template Based Asynchronous Design. Doctoral Disseration, University of Southern California, Nov. 2003, 130 pages.
Ozdag, R. et al. 2002. High-Speed QDI Asynchronous Pipelines. In Proceedings of the Eighth International Symposium on Asynchronous Circuits and Systems (ASYNC '02), IEEE, 10 pages.
Papanikolaou, A. et al. 2007. Reliability Issues in Deep Deep Sub-micron Technologies: Time-Dependent Variability and Its Impact on Embedded System Design. In 13th IEEE International On-Line Testing Symposium, p. 121.
Reese, R. et al. 2005. A Fine-Grain Phased Logic CPU. In Proceedings of the IEEE Compute

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Power aware asynchronous circuits does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Power aware asynchronous circuits, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Power aware asynchronous circuits will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4260625

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.