Plasma treatment systems

Coating apparatus – Gas or vapor deposition – With treating means

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C118S7230ER, C156S345420

Reexamination Certificate

active

06267074

ABSTRACT:

BACKGROUND OF THE INVENTION
The present invention pertains to plasma treatment systems suited for effectively carrying out plasma treatment in high-precision manufacturing processes employed in producing integrated circuits (ICs) and liquid crystal displays (LCDs), for example, and, more particularly, relates to plasma treatment systems which produce a plasma by use of electronic and magnetic fields.
Conventionally known examples of plasma treatment systems used in plasma treatment, such as chemical vapor deposition (CVD), etching and ashing, include a so-called parallel plate etcher (RIE) provided with a pair of parallel plates to serve as facing electrodes, in which a plasma treatment space is created between the parallel plates and the plasma treatment performed on a substrate like a silicon wafer, as well as a parallel plate PCVD system used for film-forming operation.
Shown in
FIG. 13
is a vertical sectioned constructional diagram, in which a parallel plate type plasma treatment system has a pair of parallel plates provided within a vacuum chamber, and a plasma is produced in or introduced into a plasma treatment space formed between the two parallel plates together with a specific treatment gas, for instance, is also introduced into the plasma treatment space. A plasma-assisted reaction is then produced in the plasma treatment space, whereby an etching process, for instance, is performed on a substrate surface placed within the plasma treatment space.
As an example, an etcher is now described in detail. This system comprises a vacuum chamber fitted with a vacuum chamber cover unit
3
which can be opened and closed. Since a substrate
1
, which is a workpiece to be treated, has a flat platelike shape, a horizontally positioned cathode portion
12
is provided approximately in the middle of a main vacuum chamber unit
2
, the cathode portion
12
having a flat-shaped top surface covered with an insulating membrane attached thereto so that the substrate
1
can be loaded on the cathode portion
12
. A cylindrical lower support
12
a
is mounted in an upright position in the main vacuum chamber unit
2
passing through a central part of its bottom. The cathode portion
12
is fixed to the top of the lower support
12
a
and supported thereby. A substrate supporting structure constructed of the aforementioned elements is mounted within the vacuum chamber with its top surface formed into such a shape that allows the substrate
1
to be loaded.
Mounted approximately in the middle of the vacuum chamber cover unit
3
above the cathode portion
12
is an anode portion
11
which is hung by means of a cylindrical upper support
11
a.
When a high-frequency voltage is applied from an RF power supply
31
to the anode portion
11
and the cathode portion
12
, which serve as facing electrodes, a plasma is produced between the anode portion
11
and the cathode portion
12
under specific vacuum pressure. If a specific treatment gas is supplied at this point, plasma treatment is performed on the substrate
1
placed on the top surface of the cathode portion
12
according to the gas state and other conditions. The anode portion
11
thus serves to form a plasma treatment space
13
between itself and the top surface of the cathode portion
12
.
Formed in the main vacuum chamber unit
2
by machining is an intake opening
2
a
passing from the inside to the outside of the main vacuum chamber unit
2
for drawing out internal gases of the vacuum chamber in order to maintain a proper degree of vacuum. A gate valve
4
a,
a variable valve
4
and a vacuum pump
5
are connected to the intake opening
2
a
in this order. The gate valve
4
a
is a manually-operated valve for blocking gas flow during maintenance, for instance, and is kept in an open position during normal operation. The variable valve
4
connected between the gate valve
4
a
and the vacuum pump
5
, such as a turbopump, is associated with a motor, for instance, which can variably change the valve opening. The motor is controlled by an electric signal so that the variable valve
4
works as a variable throttle which can remotely be controlled to regulate flow of a fluid. The vacuum pressure inside the vacuum chamber is measured by a vacuum gage
4
b
fitted to the vacuum chamber. When a control signal is generated by a PID control circuit
4
c
based on the difference between a measurement value of the vacuum gage
4
b
and a predefined target value, throttle setting of the variable valve
4
is varied in accordance with the control signal. The vacuum pressure within the vacuum chamber is automatically controlled by pressure control means having the vacuum gage
4
b
as a pressure sensor, the PID control circuit
4
c
as a pressure control circuit and the variable valve
4
as a pressure control mechanism as described above.
The density of plasma is insufficient in the above-described example for plasma generation, in which an electric field is applied across the parallel plates. Another known example is an arrangement in which a high-density plasma (HDP) is produced by additionally applying a magnetic field to confine the plasma. This arrangement is employed in an MRIE (magnetron reactive ion etcher), for example, in which the ratio of ion species in the composition of plasma is increased as the plasma density becomes higher. Besides the fact that the plasma tends to be unevenly distributed in this type of arrangement, the arrangement shows a tendency to cause severer damage by ions to the workpiece to be treated when the ratio of ions is increased. There exists a system devised to prevent such damage by producing a uniform magnetic field through the use of a flat-shaped coil as described in Japanese Unexamined Patent Publication No. 3-79025. The workpiece to be treated is still exposed directly to a high-density plasma being produced in this method, however, although the Publication contains no mention of charge-up problem of the workpiece to be treated caused by a plasma current and other problems arising from direct exposure to the plasma.
On the other hand, also known in the art are plasma etching systems in which an entire plasma space is divided into separate plasma spaces, that is, a plasma treatment space and a plasma producing space which are connected to each other, in order to reduce damage to a workpiece to be treated caused by ions and to prevent its direct exposure to a high-density plasma being produced, wherein the ratio of radical species is increased by reducing ion species in the composition of the plasma when the high-density plasma produced in the plasma producing space is delivered to the plasma treatment space. The systems of this type are classified into several alternatives including such systems as an ECR (electron cyclotron resonance) system using radical flow and those described in Japanese Unexamined Patent Publication No. 4-81324 in which the two spaces are separated by a great distance, such systems as an ICP (inductive-coupled plasma) system in which a high-density plasma is confined within a plasma producing space located adjacent to a plasma treatment space by means of a powerful magnetic field, and such systems as described in Japanese Unexamined Patent Publication No. 4-290428 in which a high-density plasma is confined by using circularly polarized electromagnetic waves emitted from a ring antenna although this alternative is same as the preceding alternative in that a plasma producing space is located adjacent to a plasma treatment space.
Among these conventional plasma treatment systems, however, the aforementioned ECR type of treatment system in which the two spaces are separated by a great distance does not provide so much an improvement in plasma treatment efficiency as might be expected from the ratio by which the amount of radical species is increased by reducing the ratio of ion species by more than a necessary level. This is because there exist many restrictions on the manner of mounting those mechanisms which make it possible to separate the plasma treatment space and the

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Plasma treatment systems does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Plasma treatment systems, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Plasma treatment systems will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2452763

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.