Partitioning symmetric nodes efficiently in a split register...

Data processing: software development – installation – and managem – Software program development tool – Translation of code

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C717S106000, C717S155000, C717S157000, C712S201000, C712S245000

Reexamination Certificate

active

07062762

ABSTRACT:
The present invention provides methods specifically geared to finding natural splits in wide, nearly symmetric dependence graphs and assigning the components of the split to clusters in a VLIW processor. The basic approach of these methods is to assign a node n of the dependence graph to the cluster to which it has the strongest affinity. A node n has the strongest affinity to the cluster containing its closest common ancestor node. Then, the mirror image node or nodes of the node n are located if they are present in the graph and are assigned to other clusters in the processor to which they have the strongest affinity.

REFERENCES:
patent: 5127104 (1992-06-01), Dennis
patent: 5442563 (1995-08-01), Lee
patent: 5555417 (1996-09-01), Odnert et al.
patent: 5765012 (1998-06-01), Wilkinson et al.
patent: 5867699 (1999-02-01), Kuslak et al.
patent: 6167490 (2000-12-01), Levy et al.
patent: 6279007 (2001-08-01), Uppala
patent: 6421668 (2002-07-01), Yakhini et al.
patent: 6745384 (2004-06-01), Biggerstaff
patent: 6954842 (2005-10-01), Drabenstott et al.
Title: Cellular Disco: resource management using virtual clusters on shared-memory multiprocessor, author: Govil et al, ACM, 1999.
Title: Space-Time Scheduling of Instruction-Level Parallelism on Raw Machine, author: Lee et al, ACM, 1999.
Gayathri Krishnamurthy et. al.,Affinity-Based Cluster Assignments for Unrolled Loops, Texas Instruments Incorporated, ICS'02, Jun. 22-26, 2002, New York, New York USA pp. 1-11.
Jesus Sanchez et al.,The Effectiveness of Loop Unrolling for Modulo Scheduling in Clustered VLIW Architectures, Department of Computer Architecture UPC, Barcelona, 8 pages.
Erik Nystrom et.al.,Effective Cluster Assignment for Modulo Scheduling, ECE Department, NC State University, 12 pages.
Guiseppe Desoli,Instruction assignment for clustered VLIW DSP compliers a new approach, Hewlett Packard Company, HPL-98-13, Jan. 1998, pp. 1-18.
Alex Aleta et.al,Graph-Partitioning Based Instruction Scheduling For Cluster Processers, UPC, 34thInt. Symp. On Microarchitecture (MICRO-34), Austin, TX-Dec. 1-5, 2002, 21 pages.
Emre Ozer et.al,Unified Assign And Schedule: A New Approach To Scheduling For Clustered Register File Microarchitectures, NC State University and Hewlett Packard labs, 8 pages.
Josep M.Condina et.al.,A unified Mudulo Scheduling and Register Allocation Technique for clustered Processors, Department of Computer Architecture, Universitat Politecnica de Catalunya, Barcelona, Spain, 10 pages.
Jason Hiser et,al.,Register Assignement for Software Pipelining with Partitioned Register Banks, Univ. of VA, MI Tech. Univ., Metropolitan State College of Denver, CO, and Texas Instruments Incorporated, 7 pages.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Partitioning symmetric nodes efficiently in a split register... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Partitioning symmetric nodes efficiently in a split register..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Partitioning symmetric nodes efficiently in a split register... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3635395

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.