Nested overlay measurement target

Radiation imagery chemistry: process – composition – or product th – Registration or layout process other than color proofing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C257S797000, C438S975000

Reexamination Certificate

active

06350548

ABSTRACT:

FIELD OF THE INVENTION
This invention generally relates to overlay measurement targets. More particularly, it relates to overlay measurement targets for semiconductor wafers. Even more particularly, it relates to an improved target that saves room in kerf areas of a wafer.
BACKGROUND OF THE INVENTION
Semiconductor wafer fabrication involves a series of photolithographic steps to provide patterned layers of material one over another. The layers must be carefully, and precisely aligned to each other so that the final structure functions as planned. Structures are included in each layer for measuring how much that layer is out of alignment with a previously formed layer. Structures may also be provided for measuring how much a later formed layer is out of alignment with that layer. These structures are typically boxes of different size. The x and y misalignment is measured by how much a box on one level is not centered within a larger box on another level in both x and y directions.
A problem with this technique became evident with the advent of damascene wiring that is fabricating with chemical mechanical polishing. Lift off and back etch processes permitted a large area blanket metal layer to cover targets on lower levels. Thus, an overlay target could be stacked without interference from underlying targets. With damascene wiring, no large area blanket metal layer could be used so underlying targets would interfere with a stacked target. A larger amount of area in the kerf region between chips has been needed for overlay measurement targets to overcome this problem, crowding out other test structures. In addition, as the number of masks and wiring levels increases the number of overlay alignment measurement targets has increased, using up more and more area in the kerf for both standard etch and damascene processed layers. Thus, a better solution for overlay measurement targets is needed that avoids using a large amount of kerf space, and this solution is provided by the following invention.
SUMMARY OF THE INVENTION
It is therefore an object of the present invention to provide a way to reduce the area for overlay measurement targets.
It is a further object of the present invention to provide a mark on each layer of three layers that combine to provide a three level nested target for providing x or y coordinate information for the three layers relative to each other.
It is a further object of the present invention to provide marks on each layer of at least three layers in which a mark on one level includes at least two nested marks on other levels that are not nested with each other.
It is a further object of the present invention to provide nested marks for measuring stepper field placement on adjacent overlapping fields.
It is a further object of the present invention to provide a mark on one level having a plurality of boxes and marks within those boxes on two or more other layers.
It is a further object of the present invention to provide marks on each layer of at least three layers in which at least two marks are nested and two marks on one level share a common edge. The nested target provides x or y coordinate information for the three layers relative to each other.
It is a feature of this aspect of the present invention that the targets provide alignment coordinate information for at least three levels.
It is an advantage of the present invention that the nested overlay measurement targets use less space than would be required by separate overlay measurement targets for each pair of levels.
These and other objects, features, and advantages of the invention are accomplished by a multilayer structure, comprising marks on each layer of three layers, said marks combined to provide a nested overlay measurement target, said nested measurement target comprising a first mark on a first layer in a second mark on a second layer in a third mark on a third layer, said nested overlay measurement target for providing x or y coordinate information for the three layers relative to each other.
Another aspect of the invention is accomplished by a multilayer structure, comprising marks on each layer of at least three layers, said marks combined to provide a first and a second nested overlay measurement target, said first and said second nested measurement targets sharing a common edge, said first nested measurement target comprising a first mark on a first layer in a second mark on a second layer, said second nested measurement target comprising a third mark on a third layer in a fourth mark on a fourth layer, said nested overlay measurement target for providing x or y coordinate information for the three layers relative to each other.


REFERENCES:
patent: 5280437 (1994-01-01), Corliss
patent: 5316984 (1994-05-01), Leourx
patent: 5451479 (1995-09-01), Ishibashi
patent: 5532091 (1996-07-01), Mizutani
patent: 5635336 (1997-06-01), Bae
patent: 6063529 (2000-05-01), Hwang
IBM TDB vol. 29, N.4 Sep. 1986 pp. 1617 Integrating Mask Registration and Critical Image Test Features Into Common Diagnostics to Improve Mask Product Disposition.
IBM TDB vol. 22, N. 10 Mar. 1980 pp. 4505+ Exposure Mask Diagnostic and Dispostion Targets.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Nested overlay measurement target does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Nested overlay measurement target, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Nested overlay measurement target will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2982483

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.