Modeling miller effect in static timing analysis

Electricity: measuring and testing – Impedance – admittance or other quantities representative of... – Lumped type parameters

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C324S609000, C324S617000, C324S628000, C324S076110, C702S065000, C716S030000

Reexamination Certificate

active

06791343

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention is related to the field of integrated circuit development methodologies and, more particularly, to static timing analysis.
2. Description of the Related Art
Static timing analysis tools are generally used in integrated circuit development methodologies to estimate the timing characteristics of a circuit being developed. The maximum frequency of operation of the circuit may be estimated by assuming “worst case” delays in the circuit elements (that is, the longest delays expected to be experienced in the fabricated integrated circuit, accounting for such factors as process variation, temperature variation, supply voltage variation, etc.). Additionally, proper operation for setup and hold times for clocked storage devices or circuit input/output signals may be estimated using “best case” assumptions for delays in the circuit elements (that is, the shortest delays expected to be experienced, accounting for the above factors).
Typically, static timing analysis tools model the delay due to the wires between circuit elements (the “interconnect delay”) as a network of resistors and capacitors. The resistors corresponding to a particular wire have resistances derived from the resistance per unit length of that wire. The capacitors have capacitances derived from the physical characteristics of the wire and nearby wires. That is, wires running along side each other (separated by an insulator) form parallel plate capacitors with a capacitance related to the surface area of the wires. Typically, a resistor-capacitor (RC) extraction is performed to extract the resistance(s) and capacitance(s) for each wire from a layout database for the circuit including the wire. The resistances and capacitances are combined to produce a delay for the wire, and the transition time on the wire (from high to low or low to high) may also be calculated from the RC extraction and the transition time at the circuit that drives the wire.
Unfortunately, the static RC data for a wire may not accurately reflect the delays experienced on that wire. For example, one effect that is not accounted for is the Miller effect. The Miller effect states that the effective capacitance between the terminals of a capacitor is dynamic and is based on the switching state of the terminals. If only one terminal is switching, the effective capacitance may be a first value. If both terminals are switching simultaneously in opposite directions at the same rate, the effective capacitance is twice the first value. If the terminals do not switch simultaneously, or at the same rate, the effective capacitance is greater than the first value but less than twice the first value. If both terminals switch simultaneously in the same direction at the same rate, the effective capacitance may be zero. If the terminals do not switch simultaneously, or at the same rate, but do switch in the same direction, the effective capacitance is greater than zero but less than the first value.
Thus, the delay on a given wire may be a dynamic value based on the switching state of nearby wires, and may vary substantially from the delay calculated using the RC extraction values. Some timing analysis tools attempt to account for Miller effect using “timing windows”. That is, a window of time around the switching on a given wire is defined, and the tool determines if other wires are switching during the timing window. This approach may help account for Miller effect, but may be complex and time consuming. Furthermore, for high frequency integrated circuit designs, the timing windows may overlap substantially, further complicating the analysis. Another attempt to model Miller effect may be to assume a “rule of thumb” for Miller effect and modify all capacitances for all wires in the integrated circuit by the same rule of thumb. Such a rule of thumb approach may not provide a very accurate Miller effect accounting for a given wire.
SUMMARY OF THE INVENTION
In one embodiment, a method is contemplated. According to the method, capacitances in a first resistance/capacitance (RC) extraction corresponding to a circuit are modified. Each capacitance is modified to estimate Miller effect on that capacitance. A ratio of a total capacitance on a first wire after the modification in the first RC extraction to a total capacitance on the first wire before the modification in the first RC extraction is calculated. Capacitances in a second RC extraction that are coupled to the first wire are modified according to the ratio. The second RC extraction is a reduced extraction as compared to the first RC extraction. A timing analysis is performed for the circuit using the second RC extraction with capacitances modified to estimate Miller effect.


REFERENCES:
patent: 4219770 (1980-08-01), Weinert
patent: 5864602 (1999-01-01), Needle
patent: 6522152 (2003-02-01), Tonti et al.
“Static Crosstalk & IR Drop Delay Analysis,” Synopsys, Inc., Sep. 2002, 11 pages.
“Crosstalk Aware Static Timing Analysis Environment,” Franzini and Forzan, STMicroelectronics, v.C. Olivettie, 2 20041 Agrate B. (MI), Italy SNUG Europe 2001, 11 pages.
“Prime Time SI; Static Crosstalk Analysis,” Synopsys, Inc., 2001, 4 pages, no month available.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Modeling miller effect in static timing analysis does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Modeling miller effect in static timing analysis, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Modeling miller effect in static timing analysis will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3216303

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.