Methods for use in formation of titanium nitride interconnects

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S653000, C438S660000, C438S664000, C438S683000, C438S738000, C438S743000, C438S744000

Reexamination Certificate

active

06268292

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates to the fabrication of semiconductor devices. More particularly, the present invention relates to etching methods, methods of forming interconnects, and interconnects resulting from such methods.
BACKGROUND OF THE INVENTION
Various interconnects are formed in the fabrication of semiconductor devices. For example, in fabrication of static random access memories (SRAMs), local interconnects are used to increase packing density. Further, metal interconnects are utilized for other purposes, such as for providing bit line interconnection for such devices. The use of titanium nitride as part of an interconnect is beneficial as titanium nitride is a good diffusion barrier.
Various conventional processes are utilized for forming interconnects including titanium nitride films. For illustration, in one local interconnect process titanium is deposited after active areas, such as n-type and p-type doped active regions of a memory cell, are cleared for deposition. After the titanium is deposited, a rapid thermal anneal or other heat treatment is performed in a nitrogen atmosphere to form titanium nitride, such as in field oxide regions separating the active areas, and for forming titanium silicide in the active areas. The titanium thickness governs the resistivity of the local interconnect created, such as between the p-type and n-type active regions, and the thickness of titanium silicide to be formed in the active regions. The temperature of the heat treatment affects which phase of titanium silicide results from the heat treatment method and also affects the titanium silicide resistivity, as well. Further in this illustrative process, the titanium nitride formed is then patterned with conventional photolithography and the titanium nitride is wet etched, such as, for example, in an ammonium hydroxide/hydrogen peroxide aqueous solution.
However, such a local interconnect process couples the resistance of the interconnect to the thickness of the titanium deposited. As shallower junctions become utilized in, for example, memory device fabrication, the titanium thickness must also be reduced, which, in turn, undesirably increases the local interconnect resistance. Further, wet etching of the titanium nitride film is undesirable in that etching solutions, such as, for example, ammonium hydroxide/hydrogen peroxide aqueous solutions, also etch photoresist utilized for patterning the titanium nitride local interconnect.
In order to decouple the thickness of the titanium silicide in the active areas from the thickness of the local interconnect itself, another interconnect process has been utilized. This decoupled process separates the formation of the titanium silicide and the titanium nitride local interconnect film formation steps. Just as described in the previous local interconnect process, titanium is deposited after the active areas are cleared and a heat treatment is utilized to form titanium silicide in the active areas and also form titanium nitride in regions outside of the active areas. However, instead of patterning the titanium nitride formed during the heat treatment, the titanium nitride film formed during the heat treatment is removed using an etching solution, such as, for example, an ammonium hydroxide/hydrogen peroxide aqueous solution. The result is a salicided active area. Titanium nitride is then deposited, such as by sputtering, over the salicided active areas and the other structure thereabout. The separately deposited titanium nitride local interconnect film is then patterned with conventional photolithography and wet etched in an etching solution, such as the ammonium hydroxide/hydrogen peroxide aqueous solution.
As previously indicated, this process decouples the thickness of the titanium silicide in the active areas from the thickness of the titanium nitride interconnect. However, patterning the titanium nitride interconnect using conventional photolithography in which the photoresist etches undesirably as the titanium nitride interconnect film is etched still presents problems, such as severe undercut and poor patterning of narrow lines.
In order to alleviate the problem of etching the photoresist during the etching of the titanium nitride film, oxide hard masks have been utilized. For example, such a local interconnect process using an oxide hard mask is described with regard to the interconnection of p-type and n-type doped active regions (i.e., drain to drain in a SRAM device). Titanium is utilized to salicide the active regions, as previously described above. The n-type active area and p-type active area are isolated such as with a field oxide, but the spacing between the two active areas is small, such as, for example, less than 3 microns. A titanium nitride interconnect film connecting the two active areas is a desirable film to utilize because it does not allow cross-diffusion of the n- and p-type dopants therethrough. Therefore, the titanium nitride film is deposited upon the salicided active areas and the device structure thereabout, i.e. field oxide. An oxide hard mask is then deposited over the titanium nitride interconnect film for patterning purposes. The oxide hard mask is then patterned with photoresist and etched leaving portions of the titanium nitride film exposed. The photoresist remaining after the oxide is patterned and etched can then be removed with the exposed titanium nitride, such as with a piranha clean (i.e., sulfuric acid/hydrogen peroxide aqueous solution).
However, use of an oxide hard mask is undesirable for several reasons. First, the oxide hard mask etch after patterning with photoresist requires extensive overetch in order to remove stringers that are left behind during, for example, an anisotropic etch of the oxide hard mask patterned with use of the photoresist. Extending the overetch too far causes the etching of the underlying titanium nitride interconnect film more than is desirable. Further, if the titanium nitride interconnect film is to be utilized as a landing pad, such as for bit line contacts of memory cell devices, the titanium nitride film is too thin to be an effective contact etch stop or pad for the contact.
For the above reasons, there is a need in the art for etching methods and interconnection formation methods in conjunction with titanium nitride films. The present invention, as described further below, provides methods for overcoming the problems described above and other problems which will become apparent to one skilled in the art from the description below. Further, interconnects formed with such methods are also provided.
SUMMARY OF THE INVENTION
A method for use in the fabrication of semiconductor devices in accordance with the present invention includes forming a titanium nitride film and depositing a silicon hard mask over the titanium nitride film. The silicon hard mask is used to pattern a titanium nitride interconnect from the titanium nitride film. In addition, the silicon hard mask is used as a contact etch stop for forming a contact area.
Another method in accordance with the present invention for use in semiconductor device fabrication includes forming a titanium nitride film and depositing a silicon hard mask over the titanium nitride film. Portions of the silicon hard mask are dry etched, selectively stopping on the titanium nitride film.
In various embodiments of the method, the silicon hard mask includes an amorphous silicon hard mask or a polysilicon hard mask and the dry etching of the silicon hard mask uses a plasma including a fluorine containing gas. Further, the plasma may include at least one of helium and oxygen.
A method of forming an interconnect in accordance with the present invention is also described. The method includes converting at least one region of silicon substrate to a metal silicide. A titanium nitride film is formed on the metal silicide. A silicon hard mask is deposited over the titanium nitride film and the silicon hard mask is utilized to form a titanium nitride interconnect from the titanium nitride film.
In one emb

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Methods for use in formation of titanium nitride interconnects does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Methods for use in formation of titanium nitride interconnects, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Methods for use in formation of titanium nitride interconnects will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2559968

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.