Methods for resist stripping and cleaning surfaces...

Abrading – Abrading process – Utilizing fluent abradant

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C451S053000, C451S075000, C451S089000, C134S009000

Reexamination Certificate

active

06764385

ABSTRACT:

FIELD OF THE INVENTION
This invention relates to systems and methods for removing photoresist from an integrated circuit structure with a dry process, preferably, in a vacuum stripping chamber, such as photoresist remaining after etch, implant or other fabrication steps. The invented system and method also remove etch residues remaining from the previous fabrication step(s). The present invention also is suitable for cleaning surfaces on hard disks, semiconductor wafers, delicate optics, etc. The present invention more particularly relates to a preferably oscillating nozzle cleaning system, preferably dispensing cryogenic, solvent or solvent combination cleaning mediums, combined with plasma excited reactive gases. The oscillating nozzle cleaning and plasma processes can be performed sequentially or simultaneously.
BACKGROUND OF THE INVENTION
Articles such as hard disks, semiconductor wafers, delicate optics, etc., often must be precisely cleaned in order to remove contaminants, either during or after a process for manufacturing the articles. For example, resist strip and residue clean typically are needed between etch, implant and deposition steps in IC fabrication processes. Conventional dry-type strip/clean sequences typically use plasma to ash resist and wet chemicals to clean residues. Resist stripping is typically carried out using dry plasma ashing. Conventional O
2
plasma ashing at high temperature tends to leave polymeric residues that require acids and/or organic solvents for removal. Wet chemistries generally are not desirable due to non-uniformities, selectivity to exposed layers and incomplete resist removal because of mass transport and surface tension associated with the solutions. A variety of alternative cleaning methods have been employed with varying degrees of success. Certain of such methods that have been attempted involve imparting carbon dioxide snow onto the article to be cleaned.
An example of such a conventional carbon dioxide cleaning system is described in U.S. Pat. No. 5,766,061. As a general/summary description of this system, a conveyor transports a wafer-carrying cassette to be cleaned in an enclosure. Jet spray nozzles generate carbon dioxide spray that cleans the wafers. While methods such as described in this patent provide a certain level of cleaning efficacy, improved methods for cleaning a variety of articles are still very much in demand. In addition, conventional jet spray nozzle approaches, while effective in some applications, generally fail in the majority of applications where the bonding between the surface of the wafer and the contamination on the wafer are strong and require chemical reaction, such as plasma, as well as a physical cleaning mechanism for adequate de-contamination and removal of residues, etc.
SUMMARY OF THE INVENTION
The present invention relates to systems and methods preferably using a plasma generation system, as a chemical means, for resist and polymer residue removal and a preferably cryogenic cleaning medium, as a physical means, for enhancing the cleaning of an exposed surface of an article. The cryogenic cleaning medium also helps in reducing submicron defects. The plasma source preferably is either a remote source that provides free-radicals or an ion assisted chemistry activated by direct exposure of the wafer to an RF plasma. In certain preferred embodiments, the free radicals/ions ratio can be controlled by running simultaneously both sources (remote and RF sources). The cryogenic and plasma processes can be performed sequentially or simultaneously in the same chamber or in two separate chambers.
A summary of an exemplary preferred embodiment is as follows. An enclosure is provided for maintaining a controlled environment during the photoresist stripping and post etch, implant or other fabrication step residue cleaning process. The enclosure preferably provides ingress and egress from and to a surrounding environment. A holding chuck preferably is provided that is configured to secure the article to be cleaned of photoresist and/or remaining polymeric residue. The environment preferably is pressure controlled (vacuum) to optimize plasma reaction. A stage or stage means is mounted on the support structure and the holding chuck is mounted on the stage means in a manner so that movement of the article relative to the support structure is provided within the enclosure on a predetermined path between the ingress and the egress points. The stage or stage means, in alternative embodiments, is fixed and the system allows the nozzle to move relative to it for complete surface coverage of the cryogenic gas. A pre-heater, in certain embodiments, is mounted in a first position adjacent the predetermined path in thermal communication with the surface of the article at the first position. Reactive gases such as oxygen preferably are introduced through a remote plasma chamber. The processing chamber is connected to a vacuum exhaust line. A cryogenic spray nozzle assembly preferably is provided wherein a spray nozzle is mounted in the spray nozzle assembly. The spray nozzle is in communication with the cryogenic cleansing medium for providing a cleaning spray at a second position adjacent the predetermined path so that the cleaning spray impinges on the surface to be cleaned at the second position. A post heater optionally is provided and, if so provided, preferably mounted in a third position adjacent to the predetermined path in thermal communication with the surface of the article at the third position. The cryogenic spray nozzle assembly, in preferred embodiments, further includes an assembly or other means for imparting cyclic motion in the spray nozzle so that the cleaning spray is moved bi-directionally relative to the predetermined path. This cyclic motion assembly or means alternatively could be external to the environment.
In another aspect of the present invention, systems and methods are provided for cleaning a surface of an article, wherein a preferred system includes a framework, a holding means that holds the article with the surface exposed, and means for moving the holding means along a predetermined path. The plasma source preferably is separated remotely from the article that is being processed, with free radicals generated remotely. Ion assisted chemistry, optionally or in combination with the remotely generated free radicals, are provided preferably by direct exposure of the wafer to an RF plasma. The plasma also may be activated by both a remote source and an RF plasma source. In preferred embodiments, each form of plasma is independently controlled to cover a wide spectrum of processing conditions in a manner to satisfy the complexity and diversity of these residues. The present invention preferably involves placing the substrate (wafer or other article, etc.) in the plasma reactor, applying to the substrate surface an activated mixture of gases selected from the group consisting of oxygen, nitrogen, hydrogen, fluorine, hydrofluorocarbon or a mixture of such gases to both remove the photoresist layer and alter the composition of the residues such that the residues are soluble in water and/or have a weakened bonds that they can be removed with a stream of cryogenic cleaning medium.
With respect to the cryogenic cleaning assembly, a nozzle having a nozzle axis and a nozzle tip preferably is spaced from and adjacent to the predetermined path for delivering a cleaning spray onto the article surface. Means preferably is mounted between the framework and the nozzle for supporting and driving the nozzle tip through a cyclic motion.
In yet another aspect of the present invention, an oscillating or vibratory nozzle assembly for use in cryogenic cleaning of a surface of an article that must be cleaned substantially free of contaminants is provided, particularly after or as part of a dry process as described herein. An oscillating nozzle assembly in accordance with certain preferred embodiments preferably includes an assembly mounting block, a nozzle mounting block, and means for resiliently connecting t

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Methods for resist stripping and cleaning surfaces... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Methods for resist stripping and cleaning surfaces..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Methods for resist stripping and cleaning surfaces... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3247411

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.